• Aucun résultat trouvé

Chapitre I Techniques de mesures de champs de déplacements

I.8. Conclusion

Parmi les techniques décrites dans ce chapitre, chacune présente des avantages et des inconvénients suivant l’échelle d’investigation et la précision recherchée. Par exemple, pour l’étude de contrainte pour des films minces sur des plaques industrielles (wafer), les méthodes par réflexion laser, Rayons X, photoréflectance, micro-Raman ou encore EBSD sont vraiment appropriées. En revanche, dès lors que les dimensions des objets à observer deviennent sub- microniques (100 nm et moins), la microscopie électronique en transmission est incontournable.

La méthode CBED est toujours considérée comme la méthode phare de mesure de contrainte pour les composants de la microélectronique devançant des techniques telles que la NBD ou bien l’EDCI qui reste une méthode très peu répandue. Dorénavant, avec les microscopes modernes, l’avènement des correcteurs d’aberrations et les progrès considérables effectués dans la technologie des caméras CCD, la haute résolution montre d’énormes possibilités. En terme de précision sur la mesure, l’analyse de phase géométrique (GPA), le peak finding et le calcul de transformées de Fourier locales permettent de déterminer des déformations inférieures au pourcent. GPA et peak finding sont, toutes deux, capables de cartographier les déformations à partir d’une seule image avec une résolution de l’ordre du nanomètre.

De plus, la méthode GPA offre la possibilité de corriger les distorsions des lentilles projecteurs du microscope [Hüe 05] ainsi que les distorsions de la caméra. En améliorant la précision de la méthode, la détermination de faibles déformations (<0,2%) semble possible et, ce, sur des champs de vue atteignant la centaine de nanomètres avec une résolution spatiale de 3 ou 4 nanomètres tout au plus.

Chapitre I: Techniques de mesures de champs de déformations

Chapitre I: Techniques de mesures de champs de déformations

34

[Ang 05] K.W. Ang, K.J. Chui, V. Bliznetsov, C.H. Tung, A. Du, N. Balasubramanian, G. Samudra, M.F. Li, et Y.C. Yeo, Lattice strain analysis of transistor structures with silicon–germanium and silicon–carbon source/drain stressors, Appl. Phys. Lett. 86, 093102, (2005)

[Bierwolf 93] R. Bierwolf, M. Hohenstein, F. Phillipp, O. Brandt, G. E. Crook, and K. Ploog, Direct measurement of local lattice distortions in strained layer structures by HREM, Ultramicroscopy 49, p. 273, (1993)

[Brenner 49] A. Brenner and S. Senderoff, Calculation of Stress in Electrodeposits from the Curvature of a Plated Strip,. Journal of Research of the National Bureau of Standards 42, p. 105, (1949) [Budiman 07] A.S. Budiman, S.M. Han, J.R. Greer, N. Tamura, J.R. Patel and W.D. Nix, A search for

evidence of strain gradient hardening in Au submicron pillars under uniaxial compression using synchrotron X-ray microdiffraction, Acta Materialia 56, 3, p. 602, (2007)

[Cabié 05] M. Cabié, Mesures de contraintes dans les couches minces épitaxiées de semiconducteurs par la technique de la courbure adaptée à la microscopie électronique en transmission (MET), Thèse de l’université Paul Sabatier, (2005)

[Cedola 04] A. Cedola, S. Lagomarsino, F. Scarinci, M. Servidori and V. Stanic, X-ray characterization of Si microstructures with high spatial resolution , Journal of Applied Physics, 95, 1662, (2004) [Clément 06] L. Clément, Mesure des deformations et des contraintes par diffraction électronique en

faisceau convergent (CBED), Thèse Université J. Fourier-Grenoble I, CEA, (2006)

[Cowley 81] J.M. Cowley, Diffraction Physics second edition, North-Holland Personnal Library, p. 79, (1981)

[Delille 02] D. Delille, R. Pantel, G. Vincent and E. Van Cappellen, Convergent beam electron diffraction extinction distance measurements for quantitative analysis of Si1-xGex, Ultramicroscopy,

93,1, (2002)

[Demarest 00] J. Demarest, R. Hull, K.T. Schonenberg and K.G.F. Janssens, Nanoscale characterization of stresses in semiconductor devices by quantitative electron diffraction, Applied Physics Letters, 77, p. 412, (2000)

[Diebold 05] A. Diebold, Introduction of stress requires stress metrology methods, Solid State Technology 48, 7, (2005)

[Ishido 07] T. Ishido, H. Matsuo, T. Katayama, T. Ueda, K. Inoue and D. Ueda, Depth profiles of strain in AlGaN/GaN heterstructures grown on Si characterized by electron backscatter diffraction technique, IEICE Electronics Express volume 4, 24, pp. 775-781, (2007)

[Ishizuka 07] K.Ishizuka, GPA Phase plugin for DigitalMicrograph, http://www.hremresearch.com

[Freund 99] L.B. Freund, E. Chason and J.A. Floro, Extensions of the Stoney formula for substrate curvature to configurations with thin substrates or large deformations, Applied Physics Letters 74, p. 1987, (1999)

[Gailhanou 07] M. Gailhanou, A. Loubens, J.S. Micha, B. Charlet, A. A. Minkevich, R. Fortunier and O. Thomas, Strain field in silicon on insulator lines using high resolution x-ray diffraction, Applied Physics Letters, 90, 111914, (2007)

[Groenen 97] J. Groenen, R. Carles, S.Christiansen, M. Albrecht, W. Dorsch, H.P. Strunk, H. Wawra and G. Wagner, Phonons as probes in self-organized SiGe islands, Applied Physics Letters, 71, 3856, (1997)

[Hashemi 07] P. Hashemi, L. Gomez, J.L. Hoyt, M.D. Robertson, M. Canonico, Asymmetric strain in nanoscale patterned strained-Si/strained-Ge/strained-Si heterostructures on insulator, Applied Physics Letters, 91, 083109, (2007)

Chapitre I: Techniques de mesures de champs de déformations

[Himcinschi 06] C. Himcinschi, I. Radu, R. Singh, W. Erfurth, A.P. Milenin, M. Reiche, S.H. Christiansen and U. Gösele, Relaxation of strain in patterned strained silicon investigated by UV Raman spectroscopyMaterials, Science and Engineering: B 135, 184, (2006)

[Hirsh 77] P.B. Hirsh, A. Howie, R.B.Nicholson, D.W. Pashley and M.J. Whelan, Electron Microscopy of Thin Crystals, Krieger, Malabar, FL, (1977).

[Howie 61] A. Howie and M.J. Whelan, Diffraction Contrast of Electron Microscope Images of Crystal Lattice Defects. II. The Development of a Dynamical Theory, Proceedings of the Royal Society of London Series A 263, (1961)

[Houdellier 06] F. Houdellier, C. Roucau, L. Clement, J.L. Rouvière and M.J. Casanove, Quantitative analysis of HOLZ line splitting in CBED patterns of epitaxially strained layers, Ultramicroscopy 106, p. 951, (2006)

[Houdellier2 06] F. Houdellier, Contribution au développement du CBED et de l’holographie HREM pour l’analyse des déformations de couches épitaxiées, Thèse INSA n° 841 (2006)

[Houdellier 07] F. Houdellier, http://www.cemes.fr/microscopie/

[Houdellier 08] F. Houdellier, A. Altibelli, C. Roucau and M.J. Casanove, New approach for the dynamical simulation of CBED patterns in heavily strained specimens, Ultramicroscopy 108, p. 426, (2008)

[Hüe 05] F. Hüe, C.L. Johnson, S. Lartigue-Korinek, G. Wang, P.R. Buseck and M.J. Hÿtch, Calibration of projector lens distortions, Journal of Electron Microscopy, 54(3), 181, (2005) [Hughey 05] M.P. Hughey and R.F. Cook, Stress development kinetics in plasma-enhanced chemical-

vapor-deposited silicon nitride films, Journal of Applied Physics 97, 114914, (2005)

[Humphreys 01] F.J. Humphreys, Grain and subgrain characterisation by electron backscatter diffraction, Journal of Material Science, 36, p. 3833, (2001)

[Hÿtch 98] M.J. Hÿtch, E. Snoeck and R. Kilaas, Quantitative measurement of displacement and strain Þelds from HREM micrographs, Ultramicroscopy 74, p. 131, (1998)

[Hÿtch 03] M.J. Hÿtch, J.L. Putaux and J.M. Pénisson, Measurement of the displacement field of dislocations to 0.03A by electron microscopy, Nautre 423, p. 270, (2003)

[Jouneau 94] P. H. Jouneau, A. Tardot, G. Feuillet, H. Mariette, and J. Cibert, Strain mapping of ultrathin epitaxial ZnTe and MnTe layers embedded in CdTe, Journal of Applied Physics 75, 7310 (1994)

[Kossel 39] W. Kossel and G. Möllenstedt, Elektroneninterferenzen im Konvergenten Bündel, Annalen Der Physics, 39, p. 113, (1939)

[Kelly 75] P.M. Kelly, A. Jostsons, R. G. Blake, and J. G. Napier, The determination of foil thickness by scanning transmission electron microscopy, Physica Status Solidi (a), 31 (2), p. 771, (1975) [Kret 01] S. Kret, R. Ruterana, A. Rosenauer et D. Gerthsen, Extracting Quantitative Information from

High Resolution Electron Microscopy, Physica Status Solidi (b) 227, No. 1, p. 247, (2001) [Li 05] J. Li, D. Anjum, R. Hull, G. Xia, and J.L. Hoyt, Nanoscale stress analysis of strained-Si

metal-oxide-semiconductor field-effect transistors by quantitative electron diffraction contrast imaging, Applied Physics Letters 87, 222111 (2005)

[Liu 05] R. Liu and M. Canonico, Applications of UV–Raman spectroscopy and high-resolution X-ray diffraction to microelectronic materials and devices Microelectronic Engineering 75, pp. 243, (2005)

Chapitre I: Techniques de mesures de champs de déformations

36

[Minkevich 07] A.A. Minkevich, M. Gailhanou, J.S. Micha, B. Charlet, V. Chamard and O. Thomas, Inversion of the diffraction pattern from an inhomogeneously strained crystal using an iterative algorithm, Physical Review B 76, 104106, (2007)

[Morniroli 98] J.P. Morniroli, Large Angle Convergent Beam Diffraction (LACBED), Application to crystal defects, French Society of Microscopies, (1998)

[Morniroli 01] Ecole thématique, Microscopie des défauts cristallins, ouvrage collectif sous la direction de Jean-Paul Morniroli, (2001)

[Munguia 07] J. Munguía, G. Bremond, O. Marty, J.-M. Bluet, and M. Mermoux, Optical characterization of a strained silicon quantum well on SiGe on insulator, Applied Physics Letters 91, 122108, (2007)

[Pettinger 04] B. Pettinger, B. Ren, G. Picardi, R. Schuster and G. Ertl, Nanoscale Probing of Adsorbed Species by Tip-Enhanced Raman Spectroscopy, Physical Review Letters, 92, 096101-1, (2004)

[Pettinger 08] http://w3.rz-berlin.mpg.de/pc/shg/shg_sers/Tip_enhanced/page7.html

[Pfeifer 06] M.A. Pfeifer, G.J. Williams, I.A. Vartanyants, R. Harder1 and I.K. Robinson, Three- dimensional mapping of a deformation field inside a nanocrystal, Nature 442, 63, (2006) [Raman 28] C.V. Raman, K. S. Krishnan, A New Type of Secondary Radiation, Nature 121, p. 501,

(1928)

[Reimer 84] L. Reimer, Transmission Electron Microscopy, Springer-Verlag second edition, p.273, (1984)

[Scherzer 36] O. Scherzer, Über einige Fehler von Elektronenlinsen, Zeitschrift für Physik A Hadrons and Nuclei, 101, pp. 593-603, (1936)

[Seitz 95] H. Seitz, M. Seibt, F.H. Baumann, K. Ahlborn, and W. Schröter, Quantitative strain mapping using high-resolution electron microscopy, Physica Status Solidi (a) 150, p. 625 (1995) [Shay 70] J.L. Shay, Photoreflectance Line Shape at the Fundamental Edge in Ultrapure GaAs, Physical

Review B, 2, 803, (1970)

[Steidtner 07] J. Steidtner and B. Pettinger, High-resolution microscope for tip-enhanced optical processes in ultrahighvacuum, Review of Scientific Instruments 78, 103104, (2007)

[Stoney 09] G.G. Stoney, The Tension of Metallic Films Deposited by Electrolysis, Proceedings of the Royal Society of London A, 82, 172, (1909)

[Tamura 03] N. Tamura, A.A. MacDowell, R. Spolenak, B.C. Valek, J.C. Bravman, W.L. Brown, R.S. Celestre, H. A. Padmore, B.W. Batterman and J. R. Patel, Scanning X-ray microdiffraction with submicrometer white beam for strain/stress and orientation mapping in thin film, Journal of Synchrotron Radiation 10, 137, (2003)

[Tamura 05] N. Tamura and H.A. Padmore, High spatial resolution stress measurements using synchrotron based scanning X-ray microdiffraction with white or monochromatic beam, Materials Science and Engineering: A, 399, 92, (2005)

[Timoshenko 26] S. Timoshenko, Analysis of Bi-Metal Thermostats, Journal of the Optical Society of America, 11, 233 (1925)

[Toda 01] A. Toda, N. Ikarashi, H. Ono, S. Ito, T. Toda and K. Imai, Local lattice strain distribution around a transistor channel in metal–oxide–semiconductor devices, Applied Physics Letters, 79, 4243, (2001)

Chapitre I: Techniques de mesures de champs de déformations

[Tsang 94] J.C. Tsang, P.M. Mooney, F. Dacol and J.O. Chu, Measurements of alloy composition ans strain in thin GexSi1-x layers, Journal of Applied Physics, 75 p. 8098, (1994)

[Usada 05] K. Usuda ,T. Numata, T. Irisawa, N. Hirashita, and S. Takagi, Strain characterization in SOI and strained-Si on SGOI MOSFET channel using nano-beam electron diffraction (NBD), Materials Science and Engineering B ,124, p. 143, (2005)

[Usada 07] K Usuda, T Irisawa, T Numata, N Hirashita and S Takagi, Characterization of in-plane strain relaxation in strained layers using a newly developed plane nano-beam electron diffraction (plane-NBD) method, Semiconductor Science and Technology 22, p. 227, (2007)

[Vartuli 07] C.B. Vartuli, K. Jarausch, H. Inada, R. Tsuneta, D. J. Dingley and E. A. Marley, Strain Measurements Using Nano-Beam Diffraction on a FE-STEM, Microscopie and Microanalysis 13 (Suppl 2), (2007)

[Venables 73] J.A. Venables and C.J. Harland, Electron back-scattering patterns—A new technique for obtaining crystallographic information in the scanning electron microscope, Philosophical Magazine 27, p. 1193, (1973)

[Vineis 05] C.J. Vineis, Complex dielectric function of biaxial tensile strained silicon by spectroscopic ellipsométrie, Physical Review B, 71, 245205, (2005)

[Wilkinson 97] A.J. Wilkinson and P.B. Hirsch, Electron diffraction based techniques in scanning electron microscopy of bulk materials, Micron, 28, p. 279, (1997)

[Wilkinson 05] A.J. Wilkinson, G. Meaden and D.J. Dingley, High-resolution elastic strain measurement from electron backscatter diffraction patterns: New levels of sensitivity, Ultramicroscopy, 106, p. 307, (2005)

[Zhao 00] J.H. Zhao, T. Ryan, S.H. Ho, A.J. McKerrow and W.Y. Shih, On-wafer characterization of thermomechanical properties of dielectric thin films by a bending beam technique, Journal of Applied Physics, 88, p. 3029, (2000)

[Zhu 07] L. Zhu, C. Georgi, M. Hecker, J. Rinderknecht, A. Mai, Y. Ritz and E. Zschech, Nano- Raman spectroscopy with metallized atomic force microscopy tips on strained silicon structures, Journal of Applied Physics 101, 104305, (2007)