• Aucun résultat trouvé

Nouvelle technologie utilisant les plasmas H2 et He pour contrôler la gravure de couches ultraminces à l’échelle nanométrique

N/A
N/A
Protected

Academic year: 2021

Partager "Nouvelle technologie utilisant les plasmas H2 et He pour contrôler la gravure de couches ultraminces à l’échelle nanométrique"

Copied!
181
0
0

Texte intégral

(1)

HAL Id: tel-01508548

https://tel.archives-ouvertes.fr/tel-01508548

Submitted on 14 Apr 2017

HAL is a multi-disciplinary open access archive for the deposit and dissemination of sci-entific research documents, whether they are pub-lished or not. The documents may come from teaching and research institutions in France or abroad, or from public or private research centers.

L’archive ouverte pluridisciplinaire HAL, est destinée au dépôt et à la diffusion de documents scientifiques de niveau recherche, publiés ou non, émanant des établissements d’enseignement et de recherche français ou étrangers, des laboratoires publics ou privés.

contrôler la gravure de couches ultraminces à l’échelle

nanométrique

Jerôme Dubois

To cite this version:

Jerôme Dubois. Nouvelle technologie utilisant les plasmas H2 et He pour contrôler la gravure de couches ultraminces à l’échelle nanométrique. Micro et nanotechnologies/Microélectronique. Univer-sité Grenoble Alpes, 2016. Français. �NNT : 2016GREAT079�. �tel-01508548�

(2)

THÈSE

Pour obtenir le grade de

DOCTEUR DE LA COMMUNAUTE UNIVERSITE

GRENOBLE ALPES

Spécialité : Nano Électronique et Nano Technologies

Arrêté ministériel : 7 août 2006

Présentée par

Jérôme DUBOIS

Thèse dirigée par Gilles CUNGE et codirigée par Nicolas POSSEME

préparée au sein du LTM (CNRS / Univ. Grenoble Alpes / CEA) dans l'École Doctorale EEATS

Nouvelle technologie utilisant les

plasmas H

2

et He pour contrôler la

gravure de couches ultraminces à

l’échelle nanométrique

New technology based on H

2

and He plasmas to

monitor etching of ultrathin layers at a nanometer scale

Thèse soutenue publiquement le 18 novembre 2016, devant le jury composé de :

M. Christophe VALLEE

Professeur des Universités, LTM Grenoble, Président du jury M. Laïfa BOUFENDI

Professeur des Universités, GREMI Orléans, Rapporteur M. Richard CLERGEREAUX

Chargé de recherche CNRS, LAPLACE Toulouse, Rapporteur M. Gilles CARTRY

Professeur des Universités, PIIM Marseille, Examinateur M. Gilles CUNGE

Directeur de recherche CNRS, LTM Grenoble, Directeur de thèse M. Nicolas POSSEME

(3)
(4)

Remerciements

Je tiens tout d’abord à remercier l’ensemble des membres du jury pour leur travail consacré à l’évaluation de cette thèse et en particulier Richard Clergereaux et Laïfa Boufendi pour avoir accepté d’en être les rapporteurs.

Avec beaucoup d’émotion je remercie mes encadrants qui ont toujours été à mes côtés durant ces dernières années et dont l’influence a été très précieuse pour mener à bien ce travail de thèse : Laurent Vallier, pour tes mille conseils et ton savoir scientifique et technique intarissable ; Nicolas Possémé, pour ta rigueur et ton dynamisme toujours au rendez-vous ; Olivier Joubert, pour ta disponibilité malgré les responsabilités qu’impliquait la direction du laboratoire et pour ton sérieux coup de pouce les derniers mois de rédaction ; et Gilles Cunge, pour ton professionnalisme, ton enthousiasme contagieux pour les sciences ainsi que tous les bons moments passés hors du labo, tu as été un directeur de thèse fantastique. Un remerciement particulier à Gilles Cartry qui a été mon encadrant de master, ta pédagogie et tes qualités de chercheur ont été déterminants pour me décider à poursuivre sur un doctorat. Enfin je remercie Jean-Paul-Booth et Mickaël Foucher pour leur accueil au LPP et les mesures de TALIF réalisées ensemble durant deux semaines.

De manière générale un grand merci à tous les membres du laboratoire avec qui j’ai réellement pris plaisir à collaborer ou simplement échanger, tous vous citer ici serait bien long, mes excuses à ceux que j’oublie... Merci en particulier à : Sébastien Labo, pour le nombre incalculable de services rendus (notamment les gravures HF qui demandent une vigilance extrême) mais aussi pour tous les sympathiques barbecues ; Eddy Latu-Romain, pour les nombreux clichés TEM au top, ton épaulement les premiers temps de la thèse, mais aussi toutes les belles sorties montagne dans les massifs grenoblois (ski de randonnée, grandes voies d’escalade, sortie raquettes, sorties couennes…) ; Émilie Despiau, pour les discussions sur les plasmas de manière générale, les simulations de MD en fin de thèse réalisées avec Vahagn qui nous ont mis sur la piste de la solution, et aussi pour les supers sessions escalade partagées ; Camille Petit-Etienne, pour les formations en début de thèse, ta disponibilité et les innombrables pannes machine débuggées ; Maxime Darnon, pour le temps passé ensemble en salle blanche sur les diagnostics plasma et les nombreuses discussions scientifiques ; Marie Panabière, pour la bibliographie impressionnante sur la gravure humide dont tu m’as fait profiter en début de thèse ; Marc Fouchier, pour les nombreuses discussions et tout le savoir partagé, notamment sur les photons VUV ; et enfin Marielle (Malou) et Sylvaine pour votre efficacité, les services rendus et la bonne humeur au rendez-vous quand on franchit la porte du secrétariat !

Je remercie également tous les thésards et autres personnes du labo qui ont contribué à rendre ces années de thèse inoubliables. Ceux avec qui nous avons à un moment donné partagé le même bureau : les anciens qui m’ont accueilli et guidé à mon arrivée, Florian, Romain, Alexandru, Michaël ; et les moins anciens avec qui le temps passé a été un vrai plaisir, Aurélien (Auré), Farah, Eddy, Odile (coach Didile), Emmanuel (Manu), merci en particulier pour votre soutien durant la rédaction. Et un grand merci général à tous ceux avec qui j’ai pu partager bien des moments mémorables que ce soit au labo, journées du

(5)

labo, soirées, conférences, ski, grimpe, etc : Onintza, Mokrane, Romuald, Paulin, Mélisa, Priyanka, Alexandra, Philippe, Maxime, Aurélien, Virginie et bien d’autres…

Merci aussi à tous ceux que j’ai rencontrés ou retrouvés sur les voies d’escalade à Grenoble pour les supers moments passés, ils se reconnaitront : Alexis, Caroline, Hugo, Clément, Solène, Maxime, Salomé, Marion, Arthur…

Merci à tous les amis qui m’accompagnent depuis pas mal d’années maintenant et sont toujours présents. La team des fameux week-ends Verdon (!!) : Tim, Illidge, Julie R, Julien, Sophie, Emmeline, Marine, Julie L, Antoine et tous ceux qui nous rejoignent à l’occasion… Sans oublier les parisiens et autres pour tous les souvenirs partagés : Erman, Robin, Vince, Xa, Alice, So, Martin… Enfin une dédicace aux amis expatriés que j’ai eu grand plaisir à revoir à l’occasion des conférences aux US : Romain, Anne-Maud et Aurore.

Pour finir je remercie ma famille qui m’a toujours encouragé dans mes projets d’étude, en particulier ma mère Marie-France, ma sœur Joëlle et mon frère Jérémie qui ont écouté d’une oreille attentive et curieuse les récits de mes aventures de thèse.

(6)

Table des matières

Remerciements iii

Symboles et abréviations vii

Chapitre I - Introduction et contexte de l’étude 1

1 - Introduction à la microélectronique 2

1.1 - Historique 2

1.2 - Le procédé de lithogravure 3

2 - Introduction à la physique des plasmas 5

2.1 - Définition d’un plasma 5

2.2 - La gaine 6 2.3 - Collisions et réactions 7 3 - La gravure plasma 10 3.1 - La gravure chimique 10 3.2 - La gravure physique 10 3.3 - La synergie ions-neutres 11

3.4 - Limitations des procédés plasma actuels 12

4 - Nouveaux défis technologiques de la gravure 14

4.1 - Les transistors FDSOI et FinFET 14

4.2 - Cas de la gravure des espaceurs SiN pour les transistors FDSOI 15 4.3 - Les nouvelles technologies de gravure plasma en développement 17 5 - Nouvelle technologie de gravure : modification par plasma de H2/He suivie d’un retrait par voie

chimique 23

5.1 - Principe et applications 23

5.2 - Objectifs et démarche de l’étude 25

Chapitre II - Dispositifs expérimentaux et logiciels 27

1 - Le réacteur de gravure ICP 28

2 - Retrait de la couche de matériau modifié 30

2.1 - La gravure humide 30

2.2 - Le réacteur de gravure Siconi 32

3 - Techniques de caractérisation des matériaux 34

3.1 - La microscopie électronique : MEB, STEM et TEM 34

3.2 - L’ellipsométrie spectroscopique 35

3.3 - La spectroscopie de photoélectrons X (XPS) 36

3.4 - La spectroscopie infrarouge à transformée de Fourier (FTIR) 39 3.5 - La spectrométrie de masse d’ions secondaires à temps de vol (ToF-SIMS) 42

4 - Diagnostics du plasma 44

4.1 - Analyseur en énergie des ions RFEA 44

4.2 - Sonde de flux d’ions capacitive 45

4.3 - Fluorescence induite par laser à deux photons (TALIF) 48

(7)

Chapitre III - Étude de la reproductibilité du nouveau procédé de gravure 53

1 - Problème de la contamination par le fluor 54

2 - Réduction des oxydes métalliques de parois par l’hydrogène 58

2.1 - Analyses XPS et TEM sur Al2O3, SiO2 et Y2O3 58

2.2 Discussion de la formation de HF dans les parois sous atmosphère humide 71 3 - Étude de différents conditionnements : CFx, SiOF et SiOBr 77

4 - Procédé sur matériau SiN avec conditionnement SiOF 83

5 - Conclusion 88

Chapitre IV - Étude de la modification du SiN en plasma d’hélium 89

1 - Caractérisation du plasma d’hélium 90

1.1 - Mesures de flux d’ions 90

1.2 - Mesures d’énergie des ions 92

1.3 - Nature des ions 95

1.4 - Effet de la pression 95

1.5 - Effet de la modulation en impulsions du plasma 95

2 - Mesure cinétique de l’épaisseur de SiN gravée 97

3 - Étude paramétrique 101

4 - Caractérisation du matériau SiN modifié 106

4.1 - Observations TEM 106

4.2 - Simulation de la pénétration des ions sous SRIM 107

4.3 - Quantifications MIR des liaisons Si-H et N-H 110

4.4 - Effet des photons 111

5 - Discussion sur la modification et la gravure du SiN 113

6 - Conclusion 114

Chapitre V - Modification du SiN en plasma d’hydrogène 115

1 - Caractérisation du plasma d’hydrogène 116

1.1 - Mesures du flux d’ions 116

1.2 - Mesures de l’énergie des ions 117

1.3 - Nature des ions 119

1.4 - Effet de la pression 128

1.5 - Effet de la modulation en impulsions du plasma 129

2 - Mesures cinétiques de la gravure du SiN : comparaison H2 / He 132

3 - Étude paramétrique 133

4 - Caractérisation du matériau SiN modifié 136

4.1 - Observations STEM 136

4.2 - Simulation de la pénétration des ions sous SRIM 136

4.3 - Quantifications MIR des liaisons Si-H et N-H 138

4.4 - Analyses SIMS 139

4.5 - Effet des photons 141

5 - Mécanismes de modification et de gravure du SiN 144

5.1 - Rôle des radicaux H 144

5.2 - Régime stationnaire dans la couche de SiN modifié 146

6 - Conclusion 151

Conclusion et perspectives 153

(8)

Symboles et abréviations

Iions p Pbias s t bias ALD ALE ATR BOE CCP CD CMOS Conductivité (S.m-1)

Permittivité électrique du vide = 8,854 x 10-12 F.m-1 Libre parcours moyen (m)

Perméabilité électrique du vide = 4π x 10-7 H.m-1, : Perméabilité électrique relative

Section efficace de réaction (m2)

Temps de transit d’un ion dans la gaine (s)

Pulsation du générateur RF (rad.s-1), : Pulsation plasma électronique, : Pulsation plasma ionique

Charge élémentaire = 1,602 x 10-19 C

Rapport de la densité ionique positive au bord sur la densité au centre, : rapport axial, : rapport radial

Courant ionique à la surface du substrat (A) Constante de Boltzmann = 1,381 x 10-23 J.K-1 Masse de l’électron = 9,11 x 10-31 kg

Masse ionique (kg)

Densité de particules (m-3), : densité électronique, : densité d’ions positifs, : densité du gaz

Pression, en Pascals (Pa) ou en Torrs (T), 1 mT = 0,133 Pa Puissance de polarisation du substrat (W)

Constante des gaz parfait = 8,314 J.mol-1.K-1 Épaisseur de gaine (m)

Temps (s)

Température (K ou eV), : température électronique, : température ionique, : température du gaz

Vitesse (m.s-1)

Tension de polarisation du substrat (V) Potentiel plasma

Coordonnée de position (m) Atomic Layer Deposition Atomic Layer Etching Attenuated Total Reflexion Buffered Oxide Etch

Capacitively Coupled Plasma Critical Dimension

(9)

CNTP CVD CW DC DCS LPCVD EDI eV FDSOI FinFET FTIR HF ICP IVDF MEB MIR RF RFEA sccm SIMS SOI SRIM STEM TALIF TEM uma VUV Wb Ws XPS

Conditions Normales de Température et de Pression Chemical Vapor Deposition

Continuous Wave (plasma continu)

Duty Cycle (Rapport de cycle en % en plasma pulsé)

Dichlorosilane (SiCl2H2) Low Pressure Chemical Vapor Deposition Eau désionisée

Électron-Volt, 1 eV = 1,602 x 10-19 J <=> 11 600 K Fully Depleted Silicon On Insulator

Fin Field Effect Transistor Fourier Transformed Acide fluorhydrique

Inductively Coupled Plasma Ion Velocity Distribution Function Microscopie électronique à balayage Multiple Internal Reflexions

Radio Fréquence

Retarded Field Energy Analyzer

Standard Cubic Centimeter per Minute, 1 sccm = 1 cm3.min-1 dans les CNTP Secondary Ion Mass Spectroscopy

Silicon On Insulator

Stopping and Range of Ions in Matter (logiciel) Scanning Transmission Electron Microscopy

Two-photon Absorption Laser Induced Fluorescence Transmission Electron Microscopy

Unite de masse atomique, 1 uma = 1,661 x 10-27 kg Vacuum Ultra Violet

Watts produits par le générateur de puissance de polarisation (bias en anglais)

Watts produits par le générateur de puissance source X-Ray Photoelectron Spectroscopy

(10)

Chapitre I

Introduction et contexte de l’étude

1 - Introduction à la microélectronique 2

1.1- Historique 2

1.2 - Le procédé de lithogravure 3

2 - Introduction à la physique des plasmas 5

2.1 - Définition d’un plasma 5

2.2 - La gaine 6 2.3 - Collisions et réactions 7 3 - La gravure plasma 10 3.1 - La gravure chimique 10 3.2 - La gravure physique 10 3.3 - La synergie ions-neutres 11

3.4 - Limitations des procédés plasma actuels 12

4 - Nouveaux défis technologiques de la gravure 14

4.1 - Les transistors FDSOI et FinFET 14

4.2 - Cas de la gravure des espaceurs SiN pour les transistors FDSOI 15

4.3 - Les nouvelles technologies de gravure plasma en développement 17

5 - Nouvelle technologie de gravure : modification par plasma de H2/He suivie d’un retrait par voie chimique 23

5.1 - Principe et applications 23

5.2 - Objectifs et démarche de l’étude 25

Ce chapitre présente tout d’abord des notions élémentaires sur les technologies utilisées en microélectronique ainsi que sur la physique des plasmas qui sont employés pour graver de nombreux matériaux constituant les circuits intégrés. La gravure par plasma, avec ses mécanismes fondamentaux et ses limitations actuelles vis-à-vis des contraintes imposées par l’industrie microélectronique seront ensuite discutées, en mettant l’accent sur les dernières structures à réaliser tels que les transistors FDSOI 22 nm et les FinFET 10 nm. La nouvelle technologie de gravure étudiée au cours de cette thèse sera finalement décrite et nous verrons les potentialités qu’elle offre pour répondre à ces défis.

(11)

1 - Introduction à la microélectronique

1.1 - Historique

La microélectronique est la miniaturisation de l’électronique. Les composants de base sont par exemple les transistors, capacités, résistances, lesquels une fois reliés forment dispositifs et circuits. On parle de circuit intégré (ou puce) lorsque les dispositifs sont réalisés sur un même cristal de matériau semi-conducteur.

À la suite des travaux réalisés durant près d’un siècle sur les semi-conducteurs, le premier transistor voit le jour en 1947 dans les laboratoires de la société Bell. Ses inventeurs John Bardeen, William Shockley et Walter Brattain reçoivent en 1956 le prix Nobel de physique pour cet accomplissement. En 1958, Jack Kilby réalise le premier circuit intégré dans les laboratoires de Texas Instrument [Kilby 1976] qui lui vaudra également un prix de Nobel de physique en 2000.

Figure 1 : Évolution du nombre de transistors dans les processeurs Intel de 1971 à 2007. Le nombre de transistors par puce a alors très rapidement augmenté et Gordon Moore, l’un des trois cofondateurs d’Intel, prévoit en 1965 que ce nombre va doubler chaque année [Moore 1965]. Il revoit en 1975 cette tendance à la baisse et postule un doublement tous les deux ans. Cette prédiction empirique sera par la suite étonnamment bien vérifiée de 1971 à aujourd’hui : cette croissance est illustrée sur la figure 1 avec les processeurs d’Intel, leader actuel du marché. Les puces microélectroniques sont ainsi de moins en moins coûteuses et de plus en plus performantes. Le dernier processeur d’Intel « Core i7 Haswell » produit en 2014 et destiné au grand public contient par exemple 2,6 milliards de transistors.

La poursuite de cette performance exponentielle au cours du temps requiert une miniaturisation permanente de la taille des dispositifs. Le besoin de coordination entre les différents acteurs, fondeurs et équipementiers, a amené à la création d’une feuille de route

(12)

internationale : c’est l’ITRS (International Technology Roadmap for Semiconductors). Elle définit chaque année les dimensions requises pour les transistors des futurs circuits intégrés. Elle est parrainée par les cinq régions principales productrices de puces (Europe, Japon, Corée, Taiwan et États-Unis). Un extrait de la version publiée en 2013, dernière en date en 2016, est présenté dans le tableau 1. Le nœud technologique, souvent utilisé pour identifier une génération de circuits intégrés, représente la demi-largeur entre deux lignes d’interconnexion métalliques sur une cellule de mémoire DRAM : 22 nm par exemple en 2016. Actuellement les circuits d’Intel en production ont atteint le nœud 14 nm, la recherche et développement porte donc sur des nœuds de dimensions inférieures.

Tableau 1 : Extrait des prévisions de l’ITRS (version 2013).

Cette course à la miniaturisation est en train d’atteindre ses limites technologiques. Au début de l’année 2016, l’industrie du semi-conducteur a annoncé la fin de la loi de Moore. Les problèmes rencontrés avec les futurs dispositifs mesurant 2-3 nm seront principalement le manque de fiabilité dû au comportement quantique des électrons [Waldrop 2016].

1.2 - Le procédé de lithogravure

Deux différentes approches sont possibles pour fabriquer des nanostructures :

- « Bottom-up » : les atomes ou les molécules sont assemblés directement par voie ascendante.

- « Top-down » : de la matière est retirée par voie descendante. C’est l’approche couramment utilisée en microélectronique pour la fabrication des transistors. Elle nécessite l’enchainement successif d’étapes de dépôts, lithographies et gravures par plasma.

Dans cette deuxième approche, les dimensions des dispositifs sont définies par le transfert par gravure plasma de l’image d’un masque, réalisé par photolithographie, dans la couche active du dispositif. De ce fait, la gravure par plasma est, avec la lithographie, l'étape technologique élémentaire dont dépend directement la miniaturisation des dispositifs microélectroniques [Cardinaud et al. 2000].

C’est donc la voie descendante qui est étudiée dans cette thèse. Les principales étapes de la fabrication d’un transistor sont présentées sur la figure 2 :

- Préparation du substrat : dopage du silicium N ou P par implantation ionique et isolation des zones de transistors par gravure et dépôt de SiO2 (« Shallow Trench Isolation »),

- Dépôt des couches actives de l’empilement de grille : oxydes high-k, métaux, poly-silicium, masque dur, résine photosensible,

Year of production 2013 2014 2015 2016 2017 2018 2019 2020

DRAM ½ Pitch (nm) 28 26 24 22 20 18 17 15

Flash ½ Pitch (nm) 18 17 15 14.2 13.0 11.9 11.9 11.9

MPU printed gate lenght (nm) 28 25 22 19.8 17.7 15.7 14.0 12.5

(13)

- Lithographie : délimitation des grilles dans la résine, - Gravure de l’empilement de grille,

- Dépôt de SiN ou SiO2 et gravure sans masque pour former les espaceurs,

- Siliciuration des zones source (S), drain (D) et grille (G), puis réalisation des contacts métalliques.

Figure 2 : Étapes principales de la fabrication d’un transistor.

Lors de la fabrication des circuits, deux types d’étapes sont à distinguer : « Front End » et « Back End ». Le Front End regroupe les étapes de réalisation des composants actifs (la dernière étape finit au pied du composant), tandis que le Back End regroupe les étapes de connexion de ces composants (la dernière étape finit au dessus du composant).

Parmi les étapes du Front End, les gravures sont des étapes déterminantes de la qualité des transistors : il s’agit de transférer des motifs de quelques dizaines de nanomètres définis par lithographie le plus fidèlement possible dans les couches actives du transistor. Or à cette échelle, seule la gravure par plasma permet aujourd’hui un contrôle précis des dimensions latérales des motifs. La gravure humide utilisée aux débuts de la microélectronique a lieu uniformément dans toutes les directions de l’espace : elle est isotrope. Au contraire, la gravure par plasma permet d’obtenir une gravure anisotrope du fait de la directionnalité du bombardement ionique : une polarisation négative appliquée au substrat permet en effet d’accélérer les ions perpendiculairement vers ce dernier (cf. figure 3).

Figure 3 : Représentation d’une gravure humide et d’une gravure par plasma.

Résine

Empilement de grille

Substrat

Dépôt Lithographie Gravure Dépôt et gravure des espaceurs SiN

Siliciuration et contacts

(14)

2 - Introduction à la physique des plasmas

2.1 - Définition d’un plasma

Le terme plasma a été proposé en 1928 par le physicien Irving Langmuir pour décrire un gaz constitué d’espèces neutres, d’ions et d’électrons et qui est globalement neutre à l’échelle macroscopique [Langmuir 1928]. Les plasmas représentent plus de 99 % de la matière de l’univers : typiquement les étoiles sont des plasmas générés par la pression gravitationnelle. De manière générale, lorsque la matière subit une élévation de température, elle peut passer successivement par les trois états solide, liquide et gazeux. Mais si la température du gaz augmente encore, les molécules se dissocient en atomes sous l’effet des collisions, puis les atomes eux-mêmes se séparent en ions positifs et électrons formant l’état plasma, qui est ainsi souvent désigné comme le 4e état de la matière.

Cependant il est également possible de générer un plasma à partir d’un gaz à faible température sous l’action d’un champ électrique. En microélectronique, ce gaz est confiné à l’intérieur d’un réacteur à basse pression, variant du millitorr au torr. Les électrons du gaz sont accélérés par le champ électrique à des énergies de plusieurs dizaines d’eV et induisent des collisions inélastiques avec les espèces neutres qui constituent le gaz. En particulier, ces électrons créent des paires ion-électron par des collisions ionisantes avec le gaz. Ces dernières permettent d’entretenir le plasma en compensant la perte des paires ion-électron sur les parois du réacteur. Enfin, une des principales caractéristiques des plasmas est la condition de quasi-neutralité à l’échelle macroscopique : elle impose l’égalité entre les densités d’ions et d’électrons telle que (dans ce cas, la densité d’ions négatifs est supposée négligeable). Cet équilibre est maintenu par des forces électrostatiques autogénérées : dès qu’une charge d’espace apparait dans un plasma, un champ électrique est formé par les espèces chargées et permet de rétablir la neutralité du plasma. Plus précisément, il existe une distance caractéristique en-dessous de laquelle le plasma ne peut plus être considéré comme neutre, c’est la longueur de Debye :

Un plasma est principalement défini par sa densité électronique en cm-3, ainsi que sa température électronique couramment exprimée en eV. Le taux d’ionisation vaut :

avec la densité d’atomes de gaz neutres, liée à la pression dans le réacteur par Le degré d’ionisation permet de distinguer :

- Les plasmas « chauds » fortement ionisés pour lesquels α = 1. Ils sont utilisés par exemple pour la fusion thermonucléaire, à confinement magnétique ou inertiel. Dans le cas des tokamaks, les particules sont piégées par un confinement magnétique et des systèmes de chauffage additionnels du plasma permettent d’atteindre 108 K, soit une température supérieure à celle du centre du Soleil qui est de 107 K !

(15)

- Les plasmas « froids » faiblement ionisés pour lesquels α 1. Ils sont très utilisés par exemple pour les applications industrielles telles que le dépôt et la gravure de matériaux, les tubes fluorescents, les écrans plasmas, ou encore la propulsion des satellites dans l’espace. Des recherches sont également menées dans le domaine biomédical pour des applications telles que le traitement des tumeurs cancéreuses ou l’arrêt des hémorragies.

Dans cette thèse nous étudions un plasma froid à basse pression produit dans un réacteur haute densité ICP (Inductively Coupled Plasma). Le faible taux d’ionisation entraine que la dynamique du plasma est surtout régie par des collisions entre les électrons et les molécules du plasma qui produisent des ions et des radicaux réactifs. Le champ électrique utilisé se situe dans la gamme RF (13,56 MHz dans notre réacteur). Pour cette gamme de fréquence, en raison de leur masse élevée, les ions ne voient qu’une moyenne du champ électrique, tandis que les électrons suivent parfaitement son évolution temporelle. Cela se traduit par la relation :

√ √

où et sont respectivement les pulsations plasmas ioniques et électroniques. De plus, la masse des électrons étant très inférieure à celle des atomes, les transferts thermiques sont peu efficaces entre les électrons et le gaz. Les électrons excités ont alors une température élevée de l’ordre de 3 eV, soit environ 36 000 K, tandis que les ions ont une température proche de celle du gaz, de l’ordre de 300 à 1000 K.

2.2 - La gaine

Au paragraphe précédent nous avons vu que le plasma reste électriquement neutre grâce à des forces électrostatiques autogénérées par les éléments chargés. Or des électrons et des ions sont perdus en permanence aux parois. De plus, la très faible masse des électrons devant celle des ions (1800 fois inférieure à celle d’un proton), induit un flux thermique des électrons vers les parois du réacteur très supérieur au flux d’ions (voir formule ci-dessous). Dans cette situation, le plasma perd donc plus d’électrons que d’ions sur les parois alors qu’il doit rester neutre. Il y a donc formation d’une zone de charge d’espace qui limite la perte des électrons : c’est la gaine. La paroi se charge négativement par rapport au plasma et le champ électrique normal aux surfaces confine alors les électrons de basse énergie dans le volume du plasma, tandis que les ions positifs sont accélérés vers les surfaces.

Pour que la gaine s’établisse, les ions doivent avoir aqcuis dans le plasma une vitesse qui est dirigée vers les surfaces (direction opposée du champ électrique) et de norme supérieure à la vitesse de Bohm :

(16)

vitesse thermique, un faible champ électrique de valeur est nécessaire entre le plasma et la gaine : cette zone du plasma est appelée pré-gaine. Ainsi à l’entrée de la gaine, les ions ont une vitesse directionnelle égale à la vitesse de Bohm et le flux ionique qui bombarde les surfaces correspond au flux de Bohm : avec la densité d’ions à l’entrée de la gaine. De ce fait, la différence de potentiel de la gaine s’ajuste de sorte que les flux moyens d’électrons et d’ions perdus aux parois s’équilibrent : . Si l’on prend en compte la chute de potentiel dans la pré-gaine, cette différence de potentiel s’exprime :

( )

où est le potentiel du plasma. Pour un plasma d’argon où 40 uma et 3 eV, cette différence est par exemple de 15 V. Les ions accélérés vers la surface des parois ou vers le substrat ont donc une énergie de 15 eV en l’absence de collisions dans la gaine (vrai à basse pression). À titre de comparaison, pour un plasma d’hydrogène où l’ion majoritaire est H3+ ( 3 uma), la chute de potentiel vaut 12 V pour 3 eV, et 19 V pour 5 eV.

Le phénomène d’autopolarisation

Pour la gravure des matériaux, c’est la vitesse directionnelle des ions qui est exploitée. Cependant l’énergie cinétique des ions doit généralement être supérieure au potentiel plasma. Une tension de polarisation négative supplémentaire doit donc être appliquée au substrat. Les substrats étant souvent recouverts d’un film isolant, l’application d’une tension continue est impossible et c’est donc une tension RF qui est appliquée (fréquence de 13,56 MHz dans notre réacteur). Le phénomène d’autopolarisation est alors exploité : lorsqu’une tension RF sinusoïdale est appliquée sur une surface, une polarisation DC additionnelle s’y développe. En effet, la forte mobilité des électrons impose que le potentiel de surface ne dépasse pas le potentiel du plasma, afin de maintenir sa quasi-neutralité. Ainsi le potentiel de surface oscille autour d’une polarisation moyenne négative . Cette tension d’autopolarisation est rendue possible par la présence d’une capacité de blocage entre le générateur RF et le substrat : c’est cette capacité qui va se polariser négativement. La valeur de s’ajuste de manière à ce que les flux d’ions et d’électrons vers la paroi s’égalisent : les ions sont perdus durant la majorité de la période RF tandis que les électrons sont perdus par pulses lorsque le potentiel de la surface est proche du potentiel plasma. Comme nous l’avons vu précédemment, la masse importante des ions implique que ces derniers voient une moyenne du champ électrique. Leur énergie cinétique à la sortie de la gaine vaut donc en moyenne . Ainsi il est possible de contrôler l’énergie du bombardement ionique sur le substrat. Notons que pour une forte tension appliquée (grande devant ), l’amplitude RF est proche de la tension d’autopolarisation .

2.3 - Collisions et réactions

Les différents constituants d’un plasma (électrons, ions, atomes, radicaux, molécules) interagissent en volume par des processus collisionnels [Chabert and Braithwaite 2011]. Ces collisions sont élastiques lorsque l’énergie cinétique totale est conservée, inélastiques lorsqu’il y a transfert d’énergie cinétique en énergie interne des particules. Dans les plasmas froids, le faible taux d’ionisation (typiquement 10-3 à 10-4) implique que les particules

(17)

chargées entrent majoritairement en collision avec les particules neutres. Ces collisions sont la plupart du temps élastiques.

Nous avons vu que le plasma est entretenu par des collisions inélastiques entre les électrons libres accélérés par le champ électrique et les espèces neutres du gaz, créant ainsi des paires ion-électron. Ces réactions ont lieu lorsque l’énergie des électrons dépasse l’énergie seuil d’ionisation.

Bien que les électrons soient soumis au champ électromagnétique appliqué, leur distribution en vitesse est souvent proche d’une distribution à l’équilibre thermique décrite par une Maxwellienne. Cette distribution peut également décrire en première approximation les vitesses des ions et des espèces neutres. La distribution 3-D s’écrit :

⃗ ( )

(

)

où , et sont la densité, la masse et la température de l’espèce concernée. La distribution donnant la proportion de particules dont la vitesse est comprise entre et , s’obtient par intégration de ⃗ sur la surface d’une sphère de rayon :

(

)

( )

Par intégration, il est alors possible de déterminer la vitesse moyenne des particules (vitesse thermique) et le flux thermique de particules traversant un plan de l’espace :

Le libre parcours moyen des électrons dans le plasma est relié à la section efficace de la réaction par :

Le temps caractéristique entre deux collisions vaut alors avec la vitesse moyenne des électrons. La fréquence des collisions vaut , avec le taux collisionnel. La fréquence moyenne des collisions pour une réaction donnée s’exprime :

̅ ∫

Pour les collisions inélastiques, par exemple l’ionisation, il existe une énergie seuil telle que :

0 si , si .

Ainsi, les électrons pouvant réagir sont seulement les plus énergétiques de la distribution. Les réactions pour lesquelles ce seuil en énergie est faible sont donc privilégiées. Par exemple, le seuil de dissociation est généralement plus faible que le seuil d’ionisation. C’est pour cette raison que les plasmas froids restent peu ionisés mais peuvent atteindre des taux

(18)

de dissociation allant jusqu’à 100 %.

Collisions électroniques inélastiques

Lors des collisions inélastiques, les électrons excités par la puissance RF donnent lieu aux principales réactions suivantes :

- Ionisation : A + e- → A+ + 2e-. Cette réaction permet l’entretien du plasma. Dans le cas d’une molécule, elle peut être directe : AB + e- → AB+ + 2e-, ou dissociative AB + e → A+ + B + 2e-.

- Dissociation : AB + e- → A + B + e-. Cette réaction permet la création des radicaux réactifs impliqués dans les mécanismes de gravure et de dépôt.

- Excitation électronique : AB + e- → AB* + e-. L’électron fournit de l’énergie à la molécule qui se retrouve dans un état excité. Si cet état est radiatif, la molécule se désexcite par émission spontanée d’un photon AB* → AB + h . Elle peut également se fragmenter, menant à des radicaux réactifs.

- Attachement électronique : AB + e- → A- + B. Cette réaction, conduisant presque toujours à une dissociation, mène à la formation d’ions négatifs qui peuvent jouer un rôle en post-décharge [Ashida and Lieberman 1997] (réduction des phénomènes de charge des surfaces).

Collisions ioniques

La plupart des collisions ioniques se font également avec les espèces neutres. Elles peuvent donner lieu à la réaction :

- Échange de charge : A+rapide + Blent → Alent + B+rapide. Cet échange est élastique ou non suivant la vitesse de l’ion. La section efficace de cette réaction est importante et son libre parcours moyen correspondant est inférieur à celui de la diffusion élastique des ions [Chabert and Braithwaite 2011].

Collisions entre les espèces neutres

De nombreuses collisions ont lieu entre les espèces neutres et les parois du réacteur. Les radicaux du plasma peuvent se recombiner au niveau des parois suivant la réaction : - Recombinaison : A + B + M → AB + M. M est un 3e corps qui permet de rendre vibrationnellement stable le complexe AB : ce peut être une espèce de la phase gazeuse mais ce rôle est le plus souvent assuré par les parois du réacteur. Ainsi dans certains plasmas, la chimie est fortement dépendante de la nature des parois [Cunge et al. 2007].

(19)

3 - La gravure plasma

3.1 - La gravure chimique

La gravure chimique correspond à une réaction entre les espèces neutres du plasma et les atomes de la surface du matériau à graver, les espèces du plasma les plus réactives étant les radicaux. La gravure chimique peut se décomposer en trois étapes élémentaires. Dans le cas de la gravure du silicium en plasma de CF4, les réactions suivantes ont par exemple lieu [Coburn and Winters 1979a, Flamm 1990] :

- Création d’espèces réactives dans le plasma : CF4 + e- → CF3 + F + e-. Les électrons énergétiques dissocient les molécules du gaz en radicaux extrêmement réactifs.

- Adsorption sur la surface : Si(s) + F(g) → SiF(s). Les atomes ou molécules réactives se lient aux atomes de la surface après avoir éventuellement diffusé sur quelques monocouches de Si. - Formation des produits de gravure et désorption : SiF3(s) + F(g) → SiF4(g). Les produits de gravure volatils sont extraits de l’enceinte du réacteur par pompage.

Ces réactions avec le silicium sont également valables en plasma de chlore et de brome. Cependant la réactivité des radicaux ainsi que leur taille jouent un rôle important. Ainsi les mécanismes de gravure présentés ci-dessus sont plus lents en plasma de chlore et plus lents encore en plasma de brome, du fait de la plus faible volatilité des produits de gravure et de la taille plus importante des atomes qui ont plus de mal à pénétrer le matériau (encombrement stérique).

Enfin les gaz du plasma doivent être choisis adéquatement pour premièrement former des produits de gravure qui soient volatiles, et deuxièmement obtenir une sélectivité (rapport des vitesses de gravure) satisfaisante vis-à-vis du masque dur ou du matériau sous-jacent. Cette sélectivité dépend de l’affinité des espèces réactives pour les atomes de la surface : elle peut varier de 0 à l’infini et constitue un atout intrinsèque à la gravure chimique.

La gravure chimique intervient rarement seule – hormis dans quelques cas spécifiques comme la gravure des flancs des motifs (qui ne sont pas bombardés par les ions énergétiques) ou dans les plasmas dits « downstream » exploitant uniquement les radicaux du plasma pour des pressions de l’ordre du Torr. Elle est généralement assistée par la gravure physique.

3.2 - La gravure physique

La gravure physique ou pulvérisation consiste à expulser les atomes de la surface uniquement grâce à l’énergie cinétique des ions incidents. Elle est directionnelle du fait de la vitesse des ions perpendiculaire au substrat mais n’est pas anisotrope (une pente typique de 60° des flancs est observée) et est très peu sélective.

Sigmund a proposé en 1969 le premier modèle obtenu par résolution analytique décrivant le phénomène de pulvérisation. Le rendement de pulvérisation , défini comme le

(20)

rapport du nombre d’atomes éjectés sur le nombre d’ions incidents, est fonction de la masse des ions et des atomes cibles, de l’angle d’incidence des ions, de l’énergie des ions , du pouvoir d’arrêt nucléaire et d’une énergie seuil [Sigmund 1969]. Pour les énergies inférieures à quelques centaines d’eV qui correspondent à notre gamme de travail, il peut s’exprimer sous la forme [Matsunami et al. 1984, Steinbruchel 1989] :

√ √

où le facteur et l’énergie dépendent de la nature du matériau cible et des paramètres de l’ion incident. Ainsi la pulvérisation a lieu uniquement pour . Cependant pour des énergies inférieures, les atomes du matériau peuvent être déplacés. De plus, en présence d’espèces réactives adsorbées à la surface, les liaisons du matériau sont affaiblies et la pulvérisation peut être induite par des ions faiblement énergétiques (voir ci-après ALE en 4.3). Enfin, ces ions faiblement énergétiques peuvent favoriser la migration des espèces réactives et la désorption des produits de gravure.

3.3 - La synergie ions-neutres

Figure 4 : Vitesse de gravure du Si pour trois différentes conditions mettant en évidence la synergie ions-neutres. Énergie des ions Ar+ : 450 eV [Coburn and Winters 1979b].

La gravure plasma, parfois désignée par RIE (Reactive Ion Etching), résulte de la synergie entre gravure chimique et gravure physique. Cette synergie « ions-neutres » a été mise en évidence par Coburn et Winters avec l’expérience présentée sur la figure 4. Un substrat de silicium est exposé successivement à des radicaux XeF2, des radicaux XeF2 combinés à un faisceau d’ions Ar+, puis enfin un faisceau d’ions Ar+ seul. Séparément, les ions comme les radicaux gravent lentement le silicium à une vitesse de 3 à 5 Å.min-1

. En revanche, lorsque les ions et les radicaux agissent simultanément cette vitesse de gravure gagne un ordre de grandeur. L’effet cumulé des ions et des radicaux est donc bien supérieur à une somme de leurs effets respectifs : c’est la synergie ions-neutres.

(21)

déplacements d’atomes, des chemins de diffusion… Ils favorisent ainsi l’adsorption d’espèces réactives. Ils augmentent également la probabilité des réactions en favorisant à la fois la migration des espèces réactives dans le matériau et la désorption des produits de gravure formés. L’ensemble de ces phénomènes aboutit à la formation d’une couche réactive en surface de un à plusieurs nanomètres qui est le siège de cette synergie.

3.4 - Limitations des procédés plasma actuels

Figure 5 : Quatre limitations actuelles de la gravure en plasma continu.

Durant les deux dernières décennies, plusieurs améliorations notables ont été apportées aux réacteurs de gravure pour répondre à la réduction constante des dimensions des transistors et à l’augmentation de la taille des substrats pour les besoins de rendement de l’industrie. L’introduction et la généralisation des sources haute densité pour la gravure Front End a été un point déterminant dans les années 90. Les plasmas à couplage inductif, caractérisés par des énergie et flux d’ions contrôlés de manière indépendante, une faible pression et une forte densité électronique, ont permis d’améliorer considérablement l’anisotropie et la sélectivité des gravures aux faibles dimensions [Lieberman and

1) Dégâts induits par le bombardement ionique

(22)

Lichtenberg 2005, Tokashiki et al. 2009, Banna et al. 2012]. Depuis leur introduction, ces sources ont été constamment améliorées pour obtenir un meilleur contrôle dimensionnel sur de grands substrats mais leur principe n’a jamais changé. Ainsi, les ICP modernes disposent de système antennes (2 différents diamètres), d’injection des gaz multi-régions (centre/bord) et d’un pompage symétrique pour améliorer l’uniformité de la gravure. En outre, le porte-substrat est découpé en un nombre toujours croissant de zones contrôlables indépendamment en température pour compenser d’éventuelles non uniformités dans les flux d’ions ou de radicaux.

Néanmoins, des dégâts intrinsèques aux plasmas ICP sont toujours observés pour les gravures les plus exigeantes en termes de dimensions et vont nuire aux propriétés électriques des dispositifs. La figure 5 donne un aperçu des principales difficultés rencontrées en gravure plasma. Premièrement, les ions du plasma endommagent les couches sur lesquelles la gravure doit s’arrêter sur plusieurs nanomètres de profondeur, du fait du potentiel plasma imposant une énergie minimale des ions d’environ une quinzaine d’eV. Dans le cas de la gravure de la grille ou des espaceurs SiN en chimie CH3F/O2/He (voir ci-après), cela va notamment conduire à une consommation excessive de silicium (« Silicon recess ») après le passage des substrats en bain de HF. Deuxièmement, des charges s’accumulant en haut et bas des motifs dévient les trajectoires des ions. Troisièmement, le contrôle des dimensions critiques (CD) devient impossible lorsque le rapport d’aspect des structures varie car la gravure dépend du rapport flux de radicaux / flux d’ions : c’est l’ARDE (Aspect Ratio Dependant Etching). Enfin, la gravure de certains matériaux, en particulier les métaux, génère des résidus sur le substrat à cause de la formation incontrôlée de produits non volatils.

(23)

4 - Nouveaux défis technologiques de la gravure

4.1 - Les transistors FDSOI et FinFET

Depuis les années 1960, la taille des transistors de l’industrie microélectronique décroit de manière exponentielle en suivant la loi de Moore. À chaque nouveau nœud technologique, les dimensions toujours inférieures des dispositifs exigent l’utilisation de nouveaux matériaux et d’architectures plus performantes. Le fonctionnement même des transistors devient alors plus complexe. La variation de la tension de grille doit piloter précisément le passage d’un courant constant (état ON) ou son blocage (état OFF) dans le canal du transistor, pour une tension source-drain donnée : c’est le principe du transistor à effet de champ (FET pour Fiel Effect Transistor). Le basculement entre les états ON et OFF doit être rapide et sensible à la tension de grille. Ainsi le courant croit de plusieurs ordres de grandeur quand le transistor passe de l’état OFF à ON, typiquement de 10-12 à 10-3 A.µm-1, pour des variations de tension de la grille de quelques dixièmes de volts. Quant à la fréquence de basculement, elle a atteint le GHz dans les années 2000 et évolue peu depuis en raison de la puissance thermique à dissiper qui devient limitante.

Figure 6 : Les transistors FD-SOI/FinFet et leurs contraintes de gravure. Des espaceurs (non représentés sur le schéma) sont présents en fin de gravure sur les parties verticales.

Pour les transistors de largeur de grille inférieure à quelques dizaines de nm et constitués de couches ultraminces, des effets quantiques visibles uniquement à ces échelles apparaissent, notamment le transport d’électrons par effet Tunnel. Tout d’abord un courant

(24)

de fuite apparait entre le canal et la grille. La capacité entre les deux étant inversement proportionnelle à l’épaisseur de la couche d’oxyde qui les sépare, une épaisseur de 1,2 nm de SiO2 est nécessaire pour le nœud 45 nm, soit environ 4 atomes ! Cette épaisseur ne pouvant être réduite davantage, de nouveaux matériaux à haute permittivité (high-k) ont été introduits : HfO2, HfSiON, ZrO2… De plus, le poly-silicium de la grille étant un matériau semi-conducteur, la tension de grille crée une zone de déplétion au-dessus de l’oxyde qui fait chuter la capacité. Le pied de la grille a donc été remplacé par un métal [Robertson and Wallace 2015].

Toujours du fait de la réduction des dimensions, un deuxième courant de fuite intervient entre le drain et la source : il s’éloigne de la grille en passant par le substrat de Si « bulk ». Les transistors FDSOI et FinFET (cf. figure 6) sont deux nouvelles architectures de transistors concurrentes qui permettent de résoudre ce problème. Dans le cas du FDSOI (Fully Depleted Silicon On Insulator), le canal en silicium cristallin d’une épaisseur de 6 nm se situe au-dessus d’une couche de SiO2 qui bloque le passage des charges par le substrat. Cette couche enterrée de SiO2 nécessite la fabrication de substrats SOI obtenus par le procédé SmartCutTM, initialement développé au CEA-Leti à Grenoble. Dans le cas du FinFET, le transistor n’est plus planaire mais en 3 dimensions : la grille entoure le canal, interdisant ainsi la fuite de courant par le substrat et permettant un excellent contrôle électrostatique [Huang et al. 1999, Lindert et al. 2001]. Un autre avantage de ces architectures est qu’il n’y a plus de dopage N et P des zones source et drain comme pour les transistors CMOS classiques (Complementary Metal Oxide Semiconductor). Ainsi la fluctuation des concentrations de dopants est évitée et la largeur de grille est mieux définie.

La figure 6 présente les architectures de ces deux transistors et les contraintes de gravure qu’ils impliquent. Une parfaite anisotropie de la gravure est requise : elle est particulièrement difficile à atteindre en bas de la grille où se forme généralement un « pied » d’une largeur de l’ordre du nanomètre après la gravure des espaceurs SiN. Dans le cas des FinFET, une sélectivité quasi infinie doit être maintenue tout en restant anisotrope : la gravure débouche rapidement sur le haut du Fin (canal) mais doit se poursuivre jusqu'à l’oxyde en restant anisotrope. De plus, les ions de la gravure endommagent généralement le silicium cristallin qui se situe sous la couche de SiN à graver. Enfin l’absence totale de résidus est requise.

4.2 - Cas de la gravure des espaceurs SiN pour les transistors FDSOI

La figure 7 présente les trois principaux défauts liés à la gravure des espaceurs SiN sur les transistors FDSOI. Tout d’abord un facettage des angles des sommets des espaceurs apparait en raison de leur pulvérisation par les ions énergétiques. La pulvérisation y est en effet plus importante que pour des ions en incidence normale sur la surface car les rendements de pulvérisation sont maximaux pour des angles d’incidences des ions de 60° [Sigmund 1981]. Or, suite à la gravure des espaceurs, une épitaxie (croissance de silicium cristallin) a lieu au niveau des zones source et drain. La réduction de la hauteur de l’espaceur par ce facettage doit donc être limitée pour permettre une isolation correcte entre la grille et les zones source et drain surélevées.

(25)

maximum car il conduit d’un point de vue électrique à une incertitude sur la valeur de la largeur de grille [Gottscho et al. 2008]. Si une augmentation de l’énergie des ions permet effectivement de réduire ce pied, elle accroit en revanche l’épaisseur de la couche réactive et endommage le silicium cristallin du canal dont l’épaisseur est de seulement 6 nm.

En plasma CH3F/O2/He par exemple, une couche de SiOF se forme à la surface du silicium du canal en fin de gravure et conduit à une consommation de Si après retrait de cette couche en bain de HF [Kastenmeier et al. 1999, Blanc et al. 2013]. Cette surconsommation est couramment appelée « Si recess » et constitue le dernier problème majeur de la gravure des espaceurs. L’épaisseur de la couche réactive transférée dans le silicium doit être minimisée afin de limiter la consommation de Si du canal du transistor ainsi que les dégâts causés par le bombardement ionique. L’ensemble dégrade en effet fortement les propriétés électriques du transistor en augmentant la tension de seuil et le courant de fuite dans le canal à l’état OFF. L’effet est d’autant plus marqué que la largeur de grille est faible [Eriguchi et al. 2009]. En conclusion, un compromis doit être trouvé entre la largeur du pied de l’espaceur et la consommation de Si du canal (i.e. entre anisotropie et sélectivité) lors de l’ajustement des paramètres plasma.

Figure 7 : Défauts d’un transistor FDSOI après gravure des espaceurs SiN.

En chimie CH3F/O2/He, la consommation de Si peut être réduite par une optimisation du rapport des concentrations des gaz [CH3F]/[O2]. Un mélange riche en oxygène permet une oxydation rapide du silicium qui provoque l’arrêt de la gravure mais consomme du silicium lors de l’étape de nettoyage en HF. Un mélange riche en carbone réduit l’épaisseur de la couche oxydée mais des liaisons Si-C sont générées en-dessous de cette couche [Blanc et al. 2014a]. Or la reprise d’épitaxie des zones source et drain est altérée par une concentration excessive de carbone en surface.

Enfin, notons l’importance de la nature des matériaux dans l’optimisation de la gravure. Le canal en silicium cristallin peut être remplacé par du SiGe cristallin afin d’améliorer la mobilité des porteurs de charges, lequel est gravé plus rapidement que le Si par les espèces halogènes du plasma : un alliage de Si0,8Ge0,2 est par exemple gravé deux fois plus rapidement que du Si pur [Oehrlein et al. 1991]. Quant au SiN, sa composition (teneur en H principalement) et sa structure varient suivant la technique de dépôt et les gaz

(26)

précurseurs utilisés. Il peut également être remplacé par des matériaux à plus faible permittivité tels que SiOCN ou SiOBCN pour éviter l’apparition d’une capacité parasite entre la grille et les zones source et drain surélevées.

4.3 - Les nouvelles technologies de gravure plasma en développement

Plasmas pulsés

Moduler l’alimentation RF du plasma en impulsions est une modification simple dans son principe mais qui a de nombreuses implications sur la physico-chimie du plasma. Les plasmas pulsés offrent ainsi de nouveaux paramètres de contrôle prometteurs pour la gravure des nouveaux dispositifs de l’industrie microélectronique [Banna et al. 2012, Economou 2014]. L’étude des plasmas pulsés a démarré au début des années 1990 dans le but de réduire les effets de charge qui apparaissent dans les gravures à forts rapport d’aspect. Ces études ont mis en évidence les principales propriétés suivantes des plasmas pulsés :

- Réduction de la température électronique et de la densité électronique [Samukawa 1994, Samukawa and Terada 1994, Yokozawa et al. 1996].

- Meilleur contrôle de la distribution en énergie des ions et possibilité de réduire l’énergie moyenne des ions [Samukawa and Mieno 1996]

- Diminution des rayonnements UV et VUV du plasma [Okigawa et al. 2003]

- Réduction des effets de charge au fond des motifs de gravure [Samukawa et al. 1996, Yokozawa et al. 1996, Ahn et al. 1996, Tokashiki et al. 2009]

D’autres études plus récentes menées au LTM-CNRS ont mis en évidence le potentiel des plasmas pulsés pour la gravure de transistors avancés :

- Réduction de l’oxydation et de la consommation de silicium à travers une couche de SiO2 ultramince [Petit-Etienne et al. 2010]

- Amélioration de la sélectivité de gravure du Si par rapport à un masque de SiO2 [Haass 2012]

- Minimisation des dégâts dans la gravure de couches ultraminces [Bodart 2012] - Réduction de la rugosité de bord de ligne des grilles de transistors par prétraitement

en plasma de HBr [Brihoum 2013]

- Minimisation de la consommation de Si lors de la gravure des espaceurs SiN des transistors FDSOI [Blanc et al. 2014b]

(27)

Figure 9 : Évolution temporelle des densités d’espèces (axe de gauche) et température électronique (axe de droite) prédits par un modèle (fluide 2D) de plasma pulsé de Cl2. Les ions Cl2+ sont les ions positifs majoritaires. Conditions : f = 1kHz, DC 50 %, 20 mT, 320 Ws1 [Ramamurthi and Economou 2002].

Figure 10 : Densités de neutres Cl2 et de radicaux Cl en fonction du rapport de cycle dans un plasma ICP de chlore synchronisé pulsé à 1 kHz, 20 mT et 800 Ws [Bodart et al. 2011].

Pour comprendre l’intérêt des plasmas pulsés et leur apport potentiel pour la gravure nous allons ici décrire de manière synthétique leur principe fonctionnement. Pulser un plasma donne accès à deux nouveaux paramètres de contrôle : la fréquence de pulsation et le rapport de cycle (cf. figure 8). La figure 9 présente les variations temporelles en plasma ICP pulsé de Cl2 (sans polarisation) des densités d’électrons , d’ions Cl2+ et Cl-, ainsi que de la température électronique . Les densités d’ions positifs comme négatifs varient sur des échelles de temps d’environ 50 µs, tandis que la température électronique s’établit en seulement 10 µs. Ainsi le potentiel plasma qui est proportionnel à la température électronique atteint rapidement un état

(28)

stationnaire durant les phases ON et OFF pour une période de pulsation typique de 0,1 à 1 ms. En particulier pendant la période OFF, la faible valeur de engendre un potentiel plasma de seulement quelques eV, ce qui est très intéressant pour réduire l’énergie des ions bombardant le substrat. En effet, l’énergie moyenne des ions sur un cycle complet peut ainsi être inférieure à la quinzaine d’eV. De plus, ces ions peuvent participer à la réduction des effets de charge dans les structures à fort facteur d’aspect : leur faible énergie permet en effet leur déviation sur le haut des motifs chargé négativement par les électrons [Hwang and Giapis 1998].

La variation rapide de a également un impact direct sur les densités de radicaux du plasma [Bodart et al. 2011]. Contrairement aux ions, leurs densités évoluent en effet sur des échelles de temps de l’ordre de la milliseconde, elles sont donc pratiquement constantes sur un cycle complet lorsque la fréquence de pulsation est supérieure ou de l’ordre du kHz. En particulier en plasma de Cl2, les radicaux sont principalement générés par les collisions électroniques inélastiques selon : Cl2 + e- → 2Cl + e-. Ils sont donc produits uniquement

Figure 11 : Principaux modes de pulsation du plasma.

pendant la période ON, tandis que leur perte par recombinaison sur les parois a lieu en permanence. Il en résulte que la concentration de radicaux Cl est directement proportionnelle au temps de la période ON (cf. figure 10). Ainsi la variation du rapport de cycle en plasma pulsé permet de contrôler le rapport du flux de radicaux sur le flux d’ions.

Enfin lors de la gravure, une polarisation doit être appliquée au substrat pour obtenir une anisotropie et une vitesse de gravure satisfaisantes. Différents mode de pulsation de la puissance de polarisation sont alors possibles : les principaux sont schématisés sur la figure 11. L’effet du mode synchronisé pulsé sur les énergies et flux d’ions sera notamment discuté au chapitre V portant sur le plasma de H2, dans la partie 1.5 « Effet de la modulation en impulsions du plasma ».

Notons cependant que les plasmas pulsés ont jusqu’à présent été introduits dans relativement peu de procédés industriels du fait de problèmes de reproductibilité des procédés [Banna et al. 2012] et notamment de problèmes d’instabilité du plasma en mode synchronisé pulsé. Enfin, les vitesses de gravure sont de manière générale fortement réduites par la modulation en impulsion et les dommages induits par les ions ne sont pas totalement supprimés [Petit-Etienne et al. 2010].

(29)

Plasmas à basse température électronique

Les plasmas à basse température électronique sont une technologie plus récente que les plasmas pulsés qui consiste à créer deux régions distinctes dans le réacteur : une zone source à haute température électronique et une zone de diffusion à une température inférieure à 1 eV au-dessus du substrat. De tels réacteurs plasmas ont commencé à être étudiés dans les années 2000 puis ont été introduits dans l’industrie dans les années 2010 par la société TEL (Tokyo Electron Limited).

Figure 12 : Réacteur plasma à basse température électronique [Bai et al. 2007].

Plusieurs configurations sont possibles pour obtenir la région à basse température électronique. L’une d’elles consiste à positionner une grille chargée négativement à quelques centimètres au-dessus du substrat dans un réacteur ICP classique comme représenté sur la figure 12. Il est ainsi possible d’abaisser la température électronique de 2 eV à des températures de 0,1 à 0,5 eV [Bai et al. 2001, Ikada et al. 2004]. Les ions positifs qui bombardent le substrat ont alors une énergie de seulement quelques eV, inférieure à la valeur habituelle du potentiel plasma d’environ 15 eV. Les dégâts induits par les ions sont minimisés et l’épaisseur de la couche réactive peut donc être réduite lors de la gravure. Cette faible valeur de est également intéressante dans le domaine du dépôt pour améliorer la qualité des films (limite l’excès de radicaux), d’autant que la polarisation négative de la grille permet d’augmenter la densité électronique du plasma [Bai et al. 2001]. Enfin les simulations de dynamique moléculaire de Brichon et al confirment la validité de ce concept en démontrant par exemple qu’il est possible de graver une couche de Si en chimie chlorée avec des ions de seulement 5 eV, du fait de la saturation de la surface par les radicaux. De plus, ces simulations montrent qu’il est possible de réduire la couche réactive à moins d’un nanomètre en utilisant en utilisant des ions d’énergie inférieure à 15 eV et un rapport du flux de neutres sur le flux d’ions élevé (> 1000), ce qui confirme l’intérêt des plasmas à basse et également des plasmas pulsés [Brichon et al. 2015].

(30)

Cependant notons que ces sources de plasmas à basse température électronique présentent certains inconvénients intrinsèques tels qu’une réduction de l’anisotropie de gravure du fait de la distribution angulaire plus étendue [Tian et al. 2006], une plus faible uniformité de gravure et enfin un possible régime de dépôt en chimie polymérisante.

La gravure par couche atomique (ALE)

La gravure par couche atomique est davantage un concept qu’une nouvelle technologie de gravure à l’heure actuelle. Le principe, illustré sur la figure 13, consiste à modifier la première couche atomique d’un matériau au cours d’une étape autolimitante, puis à retirer cette couche au cours d’une deuxième étape, sans endommager le matériau sous-jacent. Le processus est répété de manière cyclique pour atteindre l’épaisseur souhaitée.

Figure 13 : Principe de l’ALE [Kanarik et al. 2015].

Ce concept a été étudié depuis les années 1990 sur de nombreux matériaux : matériaux à base de silicium, Ge, graphène, oxydes métalliques et matériaux III-V [Kanarik et al. 2015]. Le cas le plus étudié est celui du silicium : du chlore est adsorbé en surface puis des ions Ar+ pulvérisent la couche de SiClx formée. Pour un grand nombre de matériaux étudiés, c’est également une adsorption de chlore qui est utilisée, suivie d’un bombardement de particules. L’adsorption de chlore se fait soit thermiquement sous Cl2, soit en plasma de chlore. Le plasma permet une adsorption bien plus rapide grâce aux radicaux Cl, cependant les ions sont susceptibles de créer une couche réactive d’épaisseur supérieure à la monocouche. Expérimentalement, plusieurs auteurs ont réalisé des gravures satisfaisantes sur silicium, où chaque cycle retire une épaisseur inférieure ou égale à une monocouche de Si [Matsuura et al. 1993, Athavale and Economou 1996]. Les simulations de dynamique moléculaire montrent également la validité du concept bien que, pour obtenir une étape de pulvérisation de durée raisonnable (< 100 s), les ions Ar+ doivent atteindre une énergie d’environ 50 eV et amorphisent inévitablement le silicium sur une épaisseur supérieure au nanomètre [Athavale and Economou 1995, Brichon 2015]. Le fait d’endommager légèrement la couche sous-jacente peut néanmoins être acceptable pour certains procédés, par exemple lors d’un arrêt sur une couche d’oxyde.

(31)

montré qu’il était possible de réaliser des cycles d’ALE où l’épaisseur gravée est de l’ordre de la monocouche atomique. La première étape est une hydrogénation de la surface du SiN dans un plasma ECR de H2 qui conduit à un appauvrissement en azote par la formation de NH3 volatil. Le Si restant à la surface est enfin pulvérisé par des ions Ar+ d’une quarantaine d’eV [Matsuura et al. 1999].

Pour conclure, la principale limitation à une application industrielle du concept d’ALE est la lenteur de la phase de pulvérisation de la couche réactive qui est de l’ordre de la centaine de secondes [Kim et al. 2013, Kanarik et al. 2013]. Étant donné qu’à chaque cycle l’épaisseur retirée est inférieure à 0,3 nm, les vitesses de gravure varient en effet entre 0,01 et 0,1 nm.min-1 seulement. De plus, la pulvérisation par les ions Ar+ induit nécessairement des dommages dans le matériau sous-jacent.

(32)

5 - Nouvelle technologie de gravure : modification par plasma de

H

2

/He suivie d’un retrait par voie chimique

5.1 - Principe et applications

Chacune des solutions technologiques présentées ci-dessus – plasmas pulsés, plasmas à basse , gravure par couche atomique – permet de résoudre certaines problématiques des procédés de gravure mais ne les résout pas toutes et en apportent parfois de nouvelles comme nous l’avons évoqué. De plus, dans le cas de la gravure des espaceurs SiN pour les transistors FDSOI, nous avons exposé les limitations des plasmas ICP de CH3F/O2/He classiquement employés. Ces mêmes limitations se retrouvent également dans la gravure des espaceurs SiN des transistors FinFET présentés, notamment l’endommagement du silicium cristallin du canal après la gravure.

Figure 14 : Principe de la nouvelle technologie de gravure étudiée.

Récemment, une nouvelle technologie de gravure a été introduite par Posseme et al. : elle consiste à modifier la surface d’un matériau sous l’action d’un plasma (CCP ou ICP), puis à graver par voie chimique le matériau modifié sélectivement par rapport au matériau sous-jacent non modifié (cf. figure 14). Les plasmas d’hydrogène et d’hélium sont privilégiés pour la modification du matériau car seuls des ions légers peuvent être implantés sur plusieurs nanomètres de profondeur sans induire une pulvérisation excessive [Posseme et al. 2014].

Figure 15 : Application du procédé à la gravure des espaceurs SiN des transistors FDSOI. Ensuite, l’attaque chimique du matériau modifié peut être réalisée soit en solution (« wet »), soit par voie sèche en plasma. L’idée est d’utiliser une réaction chimique où le matériau

(33)

Figure 16 : Images de microscopie TEM de transistors FDSOI après gravure des espaceurs SiN par modification en plasma de H2 suivie d’un retrait en bain de HF 1 % d’1 min (a), puis après épitaxie de 10 nm de SiGe (b), et enfin après épitaxie suite au plasma d’He + HF (c) [Posseme et al. 2014]. initial est gravé très lentement, tandis que le matériau modifié par le plasma (ions, radicaux, photons…) est consommé rapidement. Ainsi la gravure chimique permet d’obtenir une sélectivité importante entre le matériau modifié et le matériau non modifié.

Ce nouveau procédé peut être appliqué à la gravure du SiN en utilisant une attaque chimique en bain de type HF, et notamment à la gravure particulièrement exigeante des espaceurs SiN dans les transistors FDSOI (cf. figure 15). Comme en témoignent les images de la figure 16, d’excellents résultats ont déjà été obtenus en utilisant les plasmas de H2 et He suivis d’un bain en HF 1 % [Posseme et al. 2014] :

- consommation du silicium du canal réduite à 0,6 nm contre 1,5 nm pour les meilleurs procédés en plasma continu de CH3F/O2/He

- absence de « pied » au bas de l’espaceur après l’épitaxie dans les zones source/drain - absence de défauts dans les zones épitaxiées sur les images de microscopie TEM Les avantages de ce procédé sont :

- l’absence de résidus après le bain chimique

- l’absence de dépôts ou de couche de passivation pendant le plasma du fait que les ions sont implantés dans le matériau sans pulvérisation en plasma de H2 (ou avec très faible pulvérisation en plasma d’He, en sélectionnant les paramètres plasma

Figure

Figure 7 : Défauts d’un transistor FDSOI après gravure des espaceurs SiN.
Figure  9 :  Évolution  temporelle  des  densités  d’espèces  (axe  de  gauche)  et  température  électronique (axe de droite) prédits par un modèle (fluide 2D) de plasma pulsé de Cl 2
Figure 18 : Principe de la gravure couche par couche du SiO 2  par HF [Knotter 2000].
Figure 19 : Principe de la gravure couche par couche du SiN par HF : substitution d’un groupe NH 2
+7

Références

Documents relatifs

(on applique les règles de composition) Comment peut-on étudier le signe d’une fonction?. On la factorise et on utilise les règles de signe des fonctions affines (ax + b) ou

Luc Chassagne, Pascal Ruaux, Suat Topsu, Barthélemy Cagneau, Yasser Alayli, Serguei Kochtcheev, Régis Déturche, Sylvain Blaize, Gilles Lerondel,L. Matthieu Besnard,

Après avoir expliqué ce qu’est la fluorescence, nous allons voir ce qu’elle peut appor- ter pour le diagnostic médi- cal à travers son application dans la Technologie FRET («

J'ai raconté un épisode, mais ce qui a été le plus important pour moi c'est d'avoir connu le monde de la coopération, le travail de groupe, qui m'a aidé dans mes rapports avec

Pour le Gprép : dans la classe ; le complément la classe sélectionne la préposition dans pour exprimer bel et bien une inclusion dans un espace bien défini, la classe en tant que

Mais toute sa vie elle aspire à un ailleurs mythique et quand, enfin, le docteur, à l’indépendance, propose de lui donner sa maison, elle refuse le cadeau malgré

la nature pour ne citer qu’elles et les sciences humaines, qui ont pour objet le comportement de l’Homme au sens large, dans la dimension individuelle et collective.. Toutefois,

Outre le fait que l’amour maternel ne s’enseigne pas à l’école et que toutes les valeurs d’attachement au pays, relèvent des contenus d’enseignement