• Aucun résultat trouvé

Tests exhaustifs. Pierre Langlois. INF3500 : Conception et implémentation de systèmes numériques

N/A
N/A
Protected

Academic year: 2022

Partager "Tests exhaustifs. Pierre Langlois. INF3500 : Conception et implémentation de systèmes numériques"

Copied!
9
0
0

Texte intégral

(1)

INF3500 : Conception et implémentation de systèmes numériques

http://creativecommons.org/licenses/by-nc-sa/2.5/ca/

Pierre Langlois

Tests exhaustifs

(2)

Tests exhaustifs

Sujets de ce thème

• Définition

• Exemples pour circuits combinatoires

• Exemples pour circuits séquentiels

(3)

INF3500 : Conception et implémentation de systèmes numériques

Le test exhaustif

• Les tests e haustifs pe ette t d’o se ve le comportement du circuit pour toutes les

o ditio s possi les d’e t e, et e pou ha u de ses états.

• E p ati ue il est i possi le d’effe tue u test exhaustif dans un temps raisonnable.

• Pour un circuit combinatoire avec M entrées, il faut 2

M

vecteurs de test.

• Pour un circuit séquentiel avec:

N bascules, S = 2

N

états, et

M entrées, R = 2

M

transitions possibles à partir de chaque état,

il faudrait prévoir 2

N + M

vecteurs de test différents juste pour effectuer toutes les transitions possibles du système au moins une fois.

3

(4)

Exemple: conversion de secondes

Combien de vecteurs de test sont nécessaires pour un test exhaustif?

>= 240?

>= 180?

>= 120?

>= 60?

encodeur à priori

>= 0?

secondes (0 à 255)

/ 8

minutes (0 à 4, sur 3 bits)

/ 3

0 60 120 180 240

/ 8

secondes (0 à 59, sur 6 bits)

/ 6

0 1 2 3 4

0 1 2 3 4

library ieee;

use ieee.std_logic_1164.all;

use ieee.numeric_std.all;

entity convSecondes is port (

secondesIn : in unsigned(7 downto 0);

minutesOut : out unsigned(2 downto 0);

secondesOut : out unsigned(5 downto 0) );

end convSecondes;

(5)

INF3500 : Conception et implémentation de systèmes numériques

Exemple: conversion de couleurs de RGB à CMYK

5

Combien de vecteurs de test sont nécessaires pour un test exhaustif?

library ieee;

use ieee.std_logic_1164.all;

use ieee.numeric_std.all;

entity convRGB2CMYK is

port (

rouge, vert, bleu : in unsigned(7 downto 0);

cyan, magenta, jaune, noir : out unsigned(7 downto 0) );

end convRGB2CMYK;

Minimum

R

G

B

Ct

Mt

Yt

255

C

M

Y K

A. Stodghill, Tip o’da : ask for a a refill, Green Options, 2007/06/18. Consulté le 4 septembre 2009, tiré de http://greenoptions.com/tag/ink-cartridge

(6)

Exemple: machine à états

Combien de vecteurs de test sont nécessaires pour un test exhaustif?

Quelles informations sont nécessaires pour déterminer le nombre de vecteurs de tests?

library IEEE;

use IEEE.std_logic_1164.all;

entity machineAEtats is port (

reset, CLK : in STD_LOGIC;

x : in STD_LOGIC_VECTOR(1 downto 0);

sortie : out STD_LOGIC );

end machineAEtats;

architecture arch of machineAEtats is

type type_etat is (S1, S2, S3, S4);

signal etat : type_etat := S1;

begin

… S2

Sortie <= 0

S4 Sortie <= 1

S3 Sortie <= 0 S1

Sortie <= 1 x=00

x=01

x=10

x=10 reset

x=11

x=11

(7)

INF3500 : Conception et implémentation de systèmes numériques

Exemple: joueur de blackjack

7

ajoute

somme <= somme + valeurCarte valeurCarte = 11 : n_asfacile++

tire

tirer <= ‘1’

carteValide = ‘1’

2: somme > 16 reset

1: somme > 21 ET n_asfacile > 0

depart

somme <= 0 n_asfacile <= 0

corrige

somme <= somme 10 n_asfacile--

fini

3: sinon

vérifie

Combien de vecteurs de test sont nécessaires pour un test exhaustif?

Quelles informations sont nécessaires pour déterminer le nombre de vecteurs de tests?

library IEEE;

use IEEE.std_logic_1164.all;

entity blackjack is port (

clk: in std_logic;

reset: in std_logic;

carteValide : in std_logic;

valeurCarte: in integer range 2 to 11;

tirer: out std_logic;

depasse: out std_logic;

total: out integer range 0 to 31 );

end blackjack;

architecture arch2 of blackjack is

signal somme : integer range 0 to 31;

signal calculeSomme : std_logic;

signal initSomme : std_logic;

signal moinsDix : std_logic;

type type_etat is (depart, tire, ajoute, verifie, corrige, fini);

signal etat : type_etat;

(8)

Exemple: microprocesseur

• Combien de vecteurs de test sont nécessaires pour vérifier un microprocesseur de façon exhaustive?

– Énoncez vos suppositions

– Donnez un ordre de grandeur de la réponse

• Estimez le temps nécessaire en supposant que

vous pouvez appliquer un vecteur de test à chaque

milliseconde.

(9)

INF3500 : Conception et implémentation de systèmes numériques

Vous dev iez ai te a t t e apa le de …

• Évaluer la o ple it d’u test e haustif de i uits combinatoires et séquentiels. (B3)

9 Code Niveau (http://fr.wikipedia.org/wiki/Taxonomie_de_Bloom)

B1 Connaissance o ise de l’i fo atio . B2 Compréhension – i te p te l’i fo atio .

B3 Application confronter les connaissances à des cas pratiques simples.

B4 Analyse décomposer un problème, cas pratiques plus complexes.

B5 Synthèse expression personnelle, cas pratiques plus complexes.

Références

Documents relatifs

La nouveauté à l’origine de la hausse rapide des prix du pétrole réside d’une part dans la perte de vitesse de la production américaine, essentiellement son pétrole de schiste,

Le niveau d’huile dans la machine posée sur une surface plane doit être situé entre les marques tracées sur l’indicateur de niveau (voir manuel

Thé noir de Chine Chinese black tea Thé vert de Chine Chinese green tea Roïboos Roïboos.. Darjeeling Darjeeling Verveine Verbena

Ce kit est le plus complet, concept modulaire en quatre trousse séparés et amovibles Il comprend des fournitures pour chaque méthode de traitement des scènes de crime pour

Arbre d'intérêt : Pin weymouth 45 Arbre d'intérêt : Peupliers d'Italie 103 Arbre d'intérêt : Cyprès de l'Arizona 46 Arbre d'intérêt : Peuplier d'Italie 104 Arbre d'intérêt

Thé noir de Chine Chinese black tea Thé vert de Chine Chinese green tea Roïboos Roïboos. Darjeeling Darjeeling Verveine Verbena

La mise en terre d'urnes cinéraires de personnes domiciliées dans la Commune au moment du décès est gratuite. La mise en terre d'urnes cinéraires de personnes non domiciliées dans la

Le Conseil de Communauté, après en avoir délibéré à l'unanimité des membres présents et représentés décide de désigner 20 délégués titulaires : SEJOURNE