• Aucun résultat trouvé

Les bascules

N/A
N/A
Protected

Academic year: 2022

Partager "Les bascules"

Copied!
7
0
0

Texte intégral

(1)

QU’EST-CE QUE LA LOGIQUE SEQUENTIELLE ? Leçon 12

LES BISTABLES

Un circuit de logique séquentielle est un circuit dont, contrairement à la logique combinatoire, on ne peut définir l’état des sorties uniquement en fonction de l’état des entrées. Il est nécessaire pour ce type de circuit de connaître non seulement l’état des entrées au temps N mais également l’état des sorties au temps précédent que nous noterons N-1.

Exemple : Soit le circuit ci-dessous

On se rappelle que la porte NAND fournit du 1 sur sa sortie tant que l’une au moins de ses entrées est à 0.

Lorsque toutes les entrées sont à 1 sa sortie passe à 0.

Si nous mettons R=0 et S=1, la porte A recevant un 0 sur une entrée donne un 1 sur sa sortie Q quel que soit l’état de l’autre entrée. La porte B voit alors ses deux entrées à 1, sa sortie P est alors à 0

Si nous mettons R=1 et S=0, la porte B reçoit un 0 sur une donne voit sa sortie P=1 en conséquence la porte A reçoit du 1 sur ses deux entrées fournit alors du 0 sur Q

Nous voyons qu’il n’y a pas d’ambiguïté, mais si nous appliquons R=1 et S=1 il n’est pas possible de déterminer l’état des sorties sans savoir l’état antérieur de P et de Q. Supposons, que, au temps N, Q=0 et P=1 la porte B reçoit sur ses entrées 1 et 0 sa sortie P reste donc à 1, la porte A reçoit du 1 sur ses deux entrées et conserve sa sortie à 0. L’opération donne au temps N P=1 et Q=0 et au temps N+1 P=1 et Q=0

Si maintenant nous supposons l’état initial P=0 et Q=1, si nous appliquons R=1 et S=1, La porte A qui reçoit du 0 sur une entrée laisse sa sortie Q=1 et la porte B qui reçoit deux 1 garde sa sortie P à 0. Nous pouvons résumer ces deux opérations par le tableau ci dessous :

Etat initial

( temps N) R S Etat final

(temps N+1)

P Q P Q

1 0 1 1 1 0

0 1 1 1 0 1

Nous pouvons constater que pour des mêmes valeurs d’entrée, les sorties donnent des résultats différents dépendant uniquement de l’état initial du circuit.

Le problème se corse si l’état initial P=Q=1 (causé par l’application de 0 sur R et S) et que nous

appliquions du 1 sur R et S. Nous n’avons plus alors de 0 pour commencer le raisonnement, la porte la plus rapide ( ou qui aura reçu sa commande légèrement en avance) va imposer du 0 sur sa sortie et ainsi du 1 sur l’autre.

Le résultat est imprévisible, nous nous interdirons donc d’appliquer du 0 simultanément sur les deux entrées afin d’éviter les deux sorties à 1 simultanément. Dans ces conditions les deux sorties seront toujours complémentaires nous pourrons alors les nommer Q et Q, donner la valeur de l’une impose l’autre.

Les deux entrées R et S tirent leur nom de Set et Reset termes anglais signifiant Set mise à 1 Reset mise à 0.

Le fonctionnement du circuit que nous nommerons bistable RS, certains diront bascules RS, mais nous préférons réserver le terme de bascule à des circuits correspondant à des technologies bien précises que nous étudierons ultérieurement est décrit par le tableau ci-dessous dans lequel le temps N est le moment qui précède l’application des commandes sur R et S et N+1 le temps qui suit l’application de la commande.

(2)

LOGIQUE SEQUENTIELLE

R S QN+1

0 1 1 1 0 0

1 1 QN

0 0

La combinaison grisée est interdite. La combinaison R=S=1 peut être appelée mémoire puisque les sorties restent dans l’état antérieur

Le circuit que nous venons d’étudier, le bistable RS est utilisé notamment pour éliminer les rebonds de contacts. En effet, la fermeture d’un circuit électrique par un système mécanique ou électromécanique, se traduit par un métal frappant un autre métal d’où les rebondissements. Les rebondissements établissent et coupent le circuit électrique plusieurs fois pendant plusieurs centaines de microsecondes, ce qui pour un circuit électronique moderne est une éternité. Il est nécessaire d’éliminer ces rebonds, le bistable RS est une solution élégante.

Dans le montage ci-dessus, les entrées R et S (actives à 0) sont connectées (on dit rappelées) au +Vcc, tension d’alimentation des portes logiques (1 logique) par une résistance et le pôle commun du commutateur est mis à la terre( Gnd = Ground en anglais ) qui correspond au 0 logique. Il est sage, quelle que soit la technologie employée, de ne jamais laisser une entrée non connectée ( on dit « en l’air »). Laisser des entrées à un potentiel non maîtrisé peut compromettre gravement le fonctionnement d’un montage.

Dans le montage ci dessus, l’entrée R est à 0 et la sortie Q est à 1, l’entrée S est rappelée au 1 et l’autre entrée reçoit Q=1 la sortie de la porte inférieure est donc à 0. Lorsque l’inverseur bascule, pendant le temps où aucun contact n’est à 0, les deux entrées sont au 1 le bistable est donc dans la position mémoire, les sorties ne sont pas modifiées. Lorsque le contact inférieur est mis à la masse les sorties du bistable s’inversent Q passe à 1, si le contact rebondit le contact inférieur n’est plus à la masse, les deux entrées sont au 1, fonction mémoire, le contact s’établit de nouveau l’entrée S est à 0, la sortie Q reste à 1.

Le bistable est insensible aux rebonds de contact.

Le bistable RSH

Dans la description du fonctionnement précédent, nous avons envisagé un décalage dans l’arrivée des signaux, un défaut de synchronisation risque de nous placer dans le cas interdit ci-dessus. Pour éviter de tomber dans ce cas de figure, nous avons la possibilité d’améliorer le circuit en utilisant un signal de synchronisation que nous nommerons « Horloge »

Dans le montage ci dessus lorsque l’horloge H est à 0, les deux entrées R’ et S’ sont à 1, le bistable est en position mémoire on peut donc faire ce que l’on veut sur les entrées R et S sans conséquence sur le bistable. Le

(3)

inversé sur les lignes R’ et S’ simultanément.

Avec ce montage, nous avons éliminé le problème de synchronisation des signaux de commande mais nous savons que le bistable ne doit pas recevoir du 0 sur ses deux entrées de commande ce qui rendrait les deux sorties non complémentaires. La table de vérité du bistable RSH est donc :

R S H QN+1

0 1 1

1 0 0

0 0 QN

1 1

La combinaison grisée est interdite. La fonction mémoire est assurée par R et S = 0 ( QN+1 = QN) ou lorsque H=0

Puisque la fonction mémoire peut être assurée par l’Horloge, il nous est donc possible de supprimer la combinaison R=S=0, dans ces conditions R et S seront toujours complémentaires, il est donc possible d’obtenir l’un avec l’autre inversé, d’où le bistable D.

Le bistable D

L’entrée D se confond avec l’entrée S du bistable RSH et l’entrée R est obtenue à l’aide d’un inverseur. La table de vérité devient :

D H QN+1

1 1

0 0

X 0 QN

X représente un état quelconque, le symbole ∏ signifie qu’une impulsion d’Horloge survient. Le circuit ainsi décrit prend le nom de Verrou ou Latch. Si l’horloge reste en position haute toute variation de l’entrée D se répercute sur la sortie Q mais lorsqu’on passe l’horloge à 0 la sortie est bloquée, elle est verrouillée d’où son nom

LES BASCULES

Le terme « bascule » fait appelle à des technologies particulières que l’on trouve dans les circuits intégrés et notamment précise le comportement du circuit sous l’effet du signal d’horloge.

On trouve deux grandes familles de bascules : Bascules déclenchées sur front

Bascules déclenchées sur niveaux ou maître-esclave.

Les bascules déclenchées sur front

Il s’agit du front de l’horloge, soit le front montant, c’est à dire passage de 0 à 1 de l’horloge ou le front descendant, passage de 1 à 0

Le front montant s’appelle également front positif (front à dérivée positive) et le front négatif front descendant front à dérivée négative.

(4)

LOGIQUE SEQUENTIELLE

Nous prendrons ici le cas des circuits TTL, pour les circuits CMOS, le seuil de 1,4 V est différent et dépend de la tension d’alimentation.

Le bon fonctionnement de la bascule exige que l’entrée ( ou les entrées) soient stables durant les temps A+B. Le temps A est le temps de pré conditionnement de la bascule ou Set Up Time et le temps B, le temps de maintient ou Holding Time. Ces temps sont précisés dans les livres de données des fabricants de circuits ( Data Book ). En dehors de cette période (A+B), les entrées de commande sont inopérantes. Ces bascules nécessitent également une certaine qualité du front actif de l’horloge et notamment le temps de montée (Rise time - Tr) ou temps de descente (Fall time - Tf). Ce temps est mesuré entre 10 et 90 % de l’amplitude de l’impulsion d’horloge.

Les bascules déclenchées sur niveaux ou maître esclave

Ce type de bascule est réalisé à l’aide de deux bistables reliés par des portes de transmission. Le premier appelé maître qui reçoit la commande ( entrée D par exemple) se positionne sur le front de montée de l’horloge et qui répercute l’ordre sur le second, l’esclave durant le front de descente de l’horloge et qui fournit le signal de sortie. On distingue 4 points particuliers sur l’impulsion d’horloge.

Les 4 points singuliers sont obtenus par l’intersection du pulse d’horloge avec deux horizontales :

- l’une marquée Vilmax c’est à dire tension (V) d’entrée (i=input) à l’état bas (l=low) maximum ce qui signifie que, au-dessus de cette tension, le signal n’est plus du 0 logique

- l’autre marquée Vihmin c’est à dire tension (V) d’entrée (i=input) à l’état haut (h=high) minimum, ce qui signifie qu'en dessous de cette tension le signal n’est plus du 1 logique

On profite de cette occasion pour constater que, entre ces deux seuils Vilmax et Vihmin le signal n’est plus du 0 et n’est pas encore du 1 il ne faudra en aucun cas fournir un signal stabilisé entre ces deux valeurs

Le processus de fonctionnement au fur et à mesure du franchissement de ces seuils par le signal d’horloge est le suivant :

1/ les portes de communication entre maître et esclave se bloquent, l’esclave est isolé

2/ le signal de commande présent sur l’entrée de commande se présente sur le bistable maître qui se positionne

3/ les portes d’entrée se bloquent, le circuit devient indépendant des entrées de commande

4/ les sorties du bistables maître sont répercutées sur le bistable esclave qui se positionne et positionne les sorties

Nous traiterons un exemple de ce type de bascule dans l’étude de la bascule JK

(5)

La bascule JK est un circuit très riche de possibilités voyons sa table de vérité :

J K H QN+1

1 0 1

0 1 0

0 0 QN

1 1

La table de vérité ci-dessus est l’élément de base et permet de comprendre un schéma existant mais pour la conception nous en établirons une seconde à l’aide du raisonnement suivant :

Si au temps N Q= 0 et que, au temps N+1 nous voulions obtenir Q= 1 nous pouvons utiliser la combinaison J=1 et K=0 ou bien J=1 et K=1, nous en déduisons qu’il est impératif de mettre J=1 mais que K peut être

quelconque. De là cette table de vérité

QN QN+1 JN KN

0 0 0 x 0 1 1 x 1 0 x 1 1 1 x 0

Un x dans une case signifie que l’état peut être indifféremment 1 ou 0 nous choisirons la valeur qui simplifiera au mieux l’équation du circuit chargé de générer la commande

Schéma interne d’une bascule JK de type maître esclave

Les bascules JK peuvent être également équipées d’entrées asynchrones c’est à dire d’entrées indépendantes de l’horloge. Ce sont des entrées de forçage à 0 ou à 1 de la bascule qui sont prépondérantes.

Lorsqu’une des entrées est active, les entrées JK et H sont inopérantes.

(6)

LOGIQUE SEQUENTIELLE

Les entrées de forçage à 0 peuvent prendre le nom de Clear ou de Reset, celles de forçage à 1 de Set ou de Preset. Elles peuvent être actives à 1 ou à 0 suivant les fabricants

On les représente généralement avec le symbole ci-dessous :

Avant de s’attaquer aux exercices, il est bon de se rappeler la différence entre bascule et latch (verrou) source d’erreur dans le choix des composants :

- Le latch est transparent lorsque l’horloge est en position haute, toute variation sur D se répercute sur Q. Lorsque l’horloge passe à l’état bas l’information est verrouillée Q est insensible aux variation de D

- La bascule peut être Maître –Esclave, déclenchée sur front montant ou descendant, mais elle ne peut changer d’état qu’une seule fois par cycle d’horloge

Exercices :

Exercice 1 – soit la bascule D de type maître esclave réaliser le câblage qui permettra à la sortie Q de changer d’état à chaque front de descente de l’Horloge

Exercice 2 – la bascule JK de type maître esclave est câblée de la façon ci dessous dessiner l’évolution de la sortie en fonction de l’évolution de l’horloge

(7)

Exercice 3 – la bascule JK de type maître esclave est câblée de la façon ci dessous dessiner l’évolution de la sortie en fonction de l’évolution de l’horloge

Références

Documents relatifs

 Il envoie un signal sur la ligne d'occupation pour préciser que le bus est

Vu le caractère faiblement amorti de la réponse, donner une expression approchée de cette

L’interrupteur se trouve depuis une durée très grande dans la position (1).. Donner la forme générale de la solution en fonction de ω 0 et Q que

Les entrées sont les données envoyées par un périphérique (disque, réseau, clavier…) à destination de l'unité centrale ;.. • Les sorties sont les données émises par

7 Un process impose les contraintes temps réel suivantes : temps de latence <1000µs et temps séparant 2 événements consécutifs >2ms.. On choisira le mode d'

La surface d’un quadrilatère est maximum quand celui-ci est inscriptible dans un cercle.. Cette propriété résulte de la formule de Bretscheider qui donne l’aire A

Pierre ayant établi de son côté le même tableau que celui de Sébastien, ne peut rester dans l’incertitude que si son produit apparaît sur deux lignes ou plus.. Supposons

Test d’une seule entrée : la technique consiste à effectuer un « masquage » (ET logique bit par bit) du registre d’entrée (pour neutraliser l’état des autres entrées), puis à