• Aucun résultat trouvé

Chapitre IV : Évaluation des performances du composant TSC intégré à l’interposeur

3 Simulation électromagnétique d’une matrice de TSC

3.1 Présentation de la méthodologie

3.1.1 Présentation de la structure modélisée

L’un des objectifs de la campagne de simulation a été de se placer dans un cas réaliste d’intégration du TSC au sein d’un interposeur silicium. Ainsi, le modèle utilisé se base sur le design et le procédé de réalisation d’un démonstrateur traversant dont le jeu de masque a été réalisé au cours des travaux de thèse, le schéma d’intégration de ce démonstrateur est présenté sur la Figure IV. 13. Le modèle développé prend en considération les cavités du composant présentes dans le volume de l’interposeur, mais aussi les niveaux de redistributions présents en face avant et en face arrière de l’interposeur.

143

Figure IV. 13. Schéma d’intégration du démonstrateur traversant.

La Figure IV. 14 est une représentation schématique des différents éléments composant la structure finale du TSC issue du démonstrateur traversant ainsi que les cotes associées (en nanomètre). Le schéma représente une vue en coupe de la moitié d’un TSC unitaire de diamètre de 12 µm et de profondeur de 75 µm, il correspond à la partie encadré en pointillé sur la Figure IV. 13 (l’axe de symétrie centrale du via est représenté sur la partie droite du schéma).

Figure IV. 14. Représentation schématique des différents éléments composant la structure du TSC et des cotes associées (en nm). Le schéma représente la vue en coupe de la moitié d’un TSC.

La partie supérieure de la structure (présente en face avant de la plaque de silicium) se compose d’une couche de passivation de 140 nm de SiN, d’une couche de passivation de 1,4 µm de SiO2 et

d’une couche de 3 µm de cuivre permettant l’interconnexion des TSC au sein de la matrice. L’accès à l’électrode supérieure du TSC depuis la face avant de l’interposeur est réalisé à l’aide d’une ouverture de 5 µm de diamètre des couches de passivation centrée sur le via.

144 La partie centrale correspondant au volume de l’interposeur regroupe (depuis la gauche vers la droite) :

- Une couche de passivation en SiO2 200 nm d’épaisseur présente en face avant et sur les

flancs du TSC.

- Un empilement de Ti 250 nm/TiN 250 nm/Ti 250 nm assurant le rôle de barrière de diffusion du cuivre.

- Une couche de partial-filling en cuivre de 1,5 µm sur les flancs du TSC, et 5 µm déposé dans le fond.

- L’empilement MIM se composant de l’électrode inférieure en TiN de 80 µm d’épaisseur, du diélectrique en Al2O3 de 20 nm d’épaisseur et de l’électrode supérieure en TiN de 80 µm

d’épaisseur.

- Le cuivre de remplissage du via.

La partie inférieure de la structure est composée d’une couche de passivation en SiO2 de 2 µm

d’épaisseur ainsi que d’une couche de cuivre de 7 µm d’épaisseur correspondant au niveau de redistribution présent en face arrière de l’interposeur.

Une des difficultés rencontrées lors de la simulation par éléments finis de structures telles que le TSC réside dans la nécessité de réaliser un maillage fin (pour permettre la bonne prise en compte des fines couches présentes dans l’architecture, de l’ordre de la dizaine de nanomètres) sur de larges géométries (correspondant ici à l’épaisseur de l’interposeur, de l’ordre de la centaine de micromètres). Cela a pour effet de démultiplier les nœuds de calculs pour lesquels le solveur doit converger. En d’autres termes, le temps de calcul s’en trouve considérablement augmenté.

Afin d’alléger le calcul, la structure présentée dans la Figure IV. 14 a été simplifiée, le résultat de cette simplification est présenté sur la Figure IV. 15.

Figure IV. 15. Représentation schématique des différents éléments composant la structure simplifiée du TSC prise en compte lors de la simulation et des cotes associées (en nm). Le schéma représente la vue en coupe de la

145

La structure simplifiée s’est séparée de plusieurs éléments : les électrodes supérieures et inférieures de TiN, l’empilement Ti/TiN/Ti servant de couche barrière, les couches de passivations présentes sur les flancs TSC et en face arrière. Les hypothèses de modélisation sont donc les suivantes :

- Les couches en présence sont considérées conformes et ne présentent aucune rugosité. - On considère le TSC comme un cylindre parfait.

- Lorsque plusieurs TSC sont présents au sein d’une matrice, ils sont considérés comme identiques (pas de variations de géométrie de vias, ni d’épaisseur de couches).

- La contribution des électrodes de TiN est négligée par rapport aux couches de cuivre présentes dans le vias, ces dernières présentant une résistivité significativement plus faible : le courant passe donc par le chemin le moins résistif.

- Le substrat de silicium est considéré comme infiniment résistif, c’est-à-dire que les porteurs de charges ne passent que par le métal des électrodes supérieures et inférieures et qu’aucun ne passe par le silicium. Par conséquent, aucun effet de polarisation de la couche de passivation du TSC n’est pris en compte (le couplage électromagnétique entre les électrodes et les TSC d’une matrice est quant à lui pris en compte).

Les propriétés des matériaux pris en compte pour la simulation sont résumées dans le Tableau IV. 6.

Si SiO2 SiN Al2O3 Cu

σ (S.m-1) - - - - 58.10 -6

R 10 3.9 7 8.56 -

Tableau IV. 6. Propriétés des matériaux utilisés pour la simulation.

3.1.2 Extraction du modèle électrique du TSC

Cette partie a pour objectif de présenter la méthodologie mise en place par Khadim Dieng et Phillipe Artillan afin d’extraire les propriétés électriques du TSC. Le logiciel qui a été utilisé pour effectuer les simulations est « Q3D extractor », développé par ANSYS. Il permet d’extraire les paramètres de capacité, de conductance, de résistance et d’inductance à partir du modèle défini précédemment. Pour extraire l’impédance de la structure complète, il est nécessaire de modéliser le schéma électrique équivalent du TSC. La Figure IV. 16 présente la manière dont la structure a été modélisée à partir du schéma technologique.

146

Figure IV. 16.1 a) Schéma technologique en coupe du TSC. b) Schéma de la structure prise en compte pour la simulation. c) Schéma électrique équivalent.

Si l’on se base sur le schéma électrique présenté sur la Figure IV. 16 c) le courant I traversant la structure est défini par :

𝐼 = 𝐼1= −𝐼2 IV. 3

L’impédance de l’ensemble de la structure est définie par la loi d’ohm selon :

𝑍 =𝑉

𝐼 IV. 4

Avec V la tension aux bornes du TSC définie par :

𝑉 = 𝑉1+ 𝑉𝑐𝑎𝑝𝑎− 𝑉2 IV. 5

Les différentes composantes de tension aux bornes du conducteur supérieur (V1), inférieur (V2) et

de la capacité (VCapa) s’expriment de la manière suivante :

147

𝑉1= 𝑍11. 𝐼1+ 𝑍12. 𝐼2 IV. 6

𝑉2= 𝑍22. 𝐼2+ 𝑍21. 𝐼1 IV. 7

𝑉𝐶𝑎𝑝𝑎 = 𝑍𝑐𝑎𝑝𝑎. 𝐼 = 1

𝑗𝐶𝜔. 𝐼 IV. 8

Où les termes Z11 et Z22 représentent respectivement l’impédance des conducteurs supérieurs et

inférieurs (regroupant leur résistance et leur inductance tel que Z = R + jL⍵ ), les termes Z12 et Z21

représentent l’impédance mutuelle due respectivement au conducteur inférieur et au conducteur supérieur, C la valeur capacitive et ⍵ la fréquence angulaire.

La tension aux bornes du TSC s’exprime donc de la manière suivante :

𝑉 = (𝑍11− 𝑍12+ 𝑍22− 𝑍21+ 1

𝑗𝐶𝜔) . 𝐼 IV. 9

On note que contrairement au modèle analytique présenté dans le second chapitre de ce manuscrit, le modèle électrique pris en compte dans le cadre de la simulation n’est pas distribué, correspondant ainsi à un cas pessimiste. Lors de la simulation d’une matrice de TSC, le couplage entre les différents TSC est pris en compte.