• Aucun résultat trouvé

Influence de la taille de la matrice sur les valeurs de capacité, d’ESR et d’ESL

Chapitre II : Présentation et évaluation de l’architecture du Through Silicon Capacitor

5 Évaluation des performances électriques d’une matrice de TSC

5.1 Modélisation d’une matrice de TSC

5.2.3 Influence de la taille de la matrice sur les valeurs de capacité, d’ESR et d’ESL

Les deux sections précédentes ont mis en avant les besoins en termes de procédé et de design de la matrice nécessaires à la réalisation d’une capacité haute densité, cette section a pour objectif la définition des performances électriques intrinsèques d’un tel dispositif.

Le Tableau II. 3 résume les principales propriétés électriques de matrices de TSC en fonction de la surface qu’elles occupent sur l’interposeur. Le nombre de TSC a été calculé pour une matrice hexagonale de TSC 10 x 80 µm présentant un pas de répétition de 15 µm. Cette géométrie correspond au procédé de réalisation de TSV « via-middle », qui tend à être largement utilisé dans les salles blanches pour la réalisation d’interposeur silicium. Les valeurs capacitives ont été calculées dans le cas de l’utilisation d’une couche de 40 nm de Ta2O5 à l’aide de l’équation II. 3. L’ESR a quant à

lui été calculé à l’aide du modèle RC précédemment présenté et de l’équation II. 1 en considérant des interconnexions idéales entre les TSC de la matrice. L’ESL d’un TSC unitaire a été évalué à 166 ± 34 pH, cette valeur a été extraite de simulations électromagnétiques d’une structure TSC équivalente. L’ESL de la structure complète a été calculée à l’aide de l’équation II. 2 en considérant des interconnexions idéales entre les TSC de la matrice.

Taille (mm2) nTSC C (nF) ESR (m) ESL (fH)

0,5 2511 34 7 66

0,75 3795 51 5 44

1 5120 69 3 32

2 10246 137 2 16

Tableau II. 3. Propriétés électriques principales d’une matrice de TSC en fonction de sa taille.

Comme on pouvait s’y attendre, l’impédance du composant chute à mesure que la taille de la matrice augmente dû au nombre accru de TSC connectés en parallèle : un dispositif de 2 mm² présentant une capacité de 137 nF inclut 10246 TSC, ses valeurs d’ESR et d’ESL ont été évaluées respectivement à 2 m et 16 fH. Outre l’atteinte des spécifications fixées précédemment, ces faibles valeurs mettent en avant l’avantage de la structure massivement parallèle du TSC comparée à d’autres structures 2D [52] [26] ou 3D [62].

88 Ces valeurs doivent être cependant considérées avec précaution, puisqu’elles ne tiennent compte ni de l’impédance des interconnexions entre les TSC au sein de la matrice (modèle DC à fréquence nulle sans effets de propagation), ni de l’impédance mutuelle entre les différents TSC de la matrice.

Conclusion sur l’évaluation des performances électriques d’une matrice de TSC

Les paramètres influençant les propriétés électriques de la matrice capacitive sont nombreux, ils peuvent cependant être scindés en deux groupes. Le premier regroupant le diamètre et la profondeur du TSC est fixé par le procédé de réalisation du TSV avec lequel le TSC est co-intégré. Le second, regroupant la densité de la matrice ainsi que sa taille est quant à lui indépendant du procédé TSV et dépend uniquement des paramètres de conception de la matrice.

Le procédé de réalisation du TSV choisit pour assurer la connexion électrique entre les deux faces de l’interposeur silicium influe significativement sur la densité de capacité atteignable par la matrice de TSC. De plus, pour une surface et un pas de répétition fixée, l’augmentation du diamètre des TSC/TSV entraine invariablement la diminution du nombre de TSC présent en parallèle dans la matrice, menant à une augmentation de l’impédance de la structure. Ainsi, le dispositif capacitif ne sera pas aussi performant selon le type de TSV avec lequel il est co-intégré.

La densité de la matrice est définie par le pas de répétition des TSC ainsi que le type de matrice utilisé, c’est le paramètre influençant le plus la valeur de densité de capacité du dispositif. De hautes valeurs de densité de capacité peuvent être atteintes grâce à l’utilisation d’un pas de répétition agressif (environ 70 nF.mm-2 pour une matrice hexagonale de TSC 10 x 80 µm présentant un pas de répétition de 15 µm utilisant une couche de 40 nm de Ta2O5 comme diélectrique). S’il y a un

paramètre à optimiser en premier lieu pour améliorer les performances globales du composant, c’est celui-ci.

Enfin, l’architecture parallèle de la matrice de TSC permet l’atteinte de faible valeur d’ESR et d’ESL, de l’ordre du m et du fH respectivement, démontrant la capacité de l’architecture TSC à fournir un découplage de qualité au sein du PDN.

89

6 Conclusion

Ce second chapitre a dans un premier temps permis la présentation du Through Silicon Capacitor, le composant capacitif développé au cours des travaux de thèse. Cette capacité MIM tridimensionnelle est destinée à être intégrée à un interposeur silicium aux cotés des Through Silicon Vias avec lesquelles elle partage plusieurs étapes de réalisation.

Le composant capacitif présente la particularité de traverser l’intégralité de l’épaisseur de l’interposeur sur lequel il est intégré. Cette architecture permet la mise en parallèle d’une multitude de TSC unitaires au sein d’une matrice, favorisant de ce fait l’atteinte de faibles valeurs d’impédances propices à un découplage de qualité.

Un modèle analytique distribué du TSC a été développé, afin de permettre l’évaluation de ses valeurs de capacité et de résistance série en fonction des nombreux paramètres géométriques, morphologiques et matériaux. Il permet de prendre en compte la distribution du flux de courant le long des électrodes ainsi que la non-conformité des couches en présence, deux paramètres de premières importances dans le cas du TSC. Ce modèle ne permet cependant pas l’évaluation de l’inductance série du composant, qui participe au phénomène d’antirésonance au sein du PDN décrit dans le premier chapitre. L’évaluation de l’inductance nécessite une méthode de simulation par éléments finis qui sera présentée dans le dernier chapitre de ce manuscrit.

Deux types de diélectriques ont été étudiés : l’alumine de permittivité diélectrique R = 8,5 et

l’oxyde de tantale de permittivité diélectrique R = 25. Ils permettent tous deux l’atteinte de l’objectif

de densité de capacité de 40 nF.mm-2 pour une architecture de type « via-middle » (10 x 80 µm) associé à une matrice dense (pitch = 15 µm). Cependant, un gain de 47 % est observé dans le cas de l’utilisation de l’oxyde de tantale par rapport à l’alumine, malgré une épaisseur doublée pour des raisons de fiabilité (limitation des courants de fuite et de la tension de claquage). La conformité de la couche de diélectrique est un paramètre à contrôler lors de la réalisation de la structure, une trop forte variation d’épaisseur entrainant une chute non négligeable de la valeur capacitive du composant.

L’évaluation de l’ESR de la structure a permis de mettre en avant le fait que le transport de charges est limité par l’électrode inférieure. Ainsi, les paramètres de cette dernière que sont sa résistivité, son épaisseur et son uniformité ont une influence importante sur la résistivité de la structure complète. Il convient donc de les maitriser lors du dépôt de la couche de nitrure de titane.

La mise en parallèle des TSC au sein de la matrice permet l’atteinte des spécifications ciblées en termes d’ESR et d’ESL : des gammes de valeurs de l’ordre du m et du fH ont été calculées pour des matrices denses en intégration « via-middle » (10 x 80 µm). Ce type d’intégration du TSV tend à être largement utilisé pour la réalisation d’interposeur silicium. Le TSC peut cependant être co-intégré avec de nombreux types de procédés de TSV, mais les performances de la capacité sont intimement liées au type de TSV avec lequel elle partage la géométrie.

90