• Aucun résultat trouvé

4.2 Optimisation de la source par SMO interne

4.2.2 Méthode géométrique

Afin de simplifier la démarche de création de source lors de la définition d’une nou-velle technologie, un procédé bien plus accessible a été mis en place. En effet, lors des premières étapes de la définition d’une technologie, la forme finale des motifs n’est pas encore parfaitement définie. Il est donc impossible de générer une source par l’intermédiaire des outils industriels, comme par l’intermédiaire de la méthode de SMO interne, basée sur un ensemble de motifs tirés du design.

Au cours des premières étapes de la création d’une nouvelle génération, chaque ni-veau de cette technologie, appelé "layer", est défini en amont par les valeurs de MRC, de l’anglais Mask Rule Check. Les MRC représentent l’ensemble des dimensions cri-tiques qu’il est possible de trouver sur le design final. Pour exemple, les différents pitchs qui seront présents sur le masque, les tailles des motifs critiques en deux di-mensions etc.... La quantité d’informations donnée en général est relativement faible puisque seules quelques valeurs de pitch sont déterminées.

A partir de ces informations, il est possible de dessiner une première carte d’intensité pour la source lumineuse et d’étudier l’image de diffraction dans le plan de la pupille. Cette image va permettre de représenter l’ensemble des ordres de diffraction captés par la pupille de sortie.

Afin d’étudier cette diffraction pour un certain niveau d’une nouvelle technologie, il est possible de représenter cette dernière à travers les pas de réseau donnés par les caractéristiques de cet ensemble technologie/niveau. La source idéale devrait ré-pondre au critère suivant : l’ensemble des images de diffraction produites par toutes les structures étudiées doivent se recouvrir. En effet, comme vu dans la section 4.1.1, ce critère définis le contraste, donc la pente de l’intensité lumineuse au niveau de la résine et par conséquent la qualité de l’image finale obtenue sur le wafer.

Pour exemple, le cas d’un niveau technologique imaginaire répondant aux critères suivants peut être étudié. Le masque final possèdera :

– Une grande quantité de structures de type lignes espaces avec un pas de réseau de 90nm dans le sens vertical.

– Un ensemble de structures lignes espaces verticales ayant un pitch de 180nm. – Des structures ligne espace de pitch 130nm dans le sens horizontal.

Une source imaginaire peut être utilisée répondant aux critères suivants : – Type paramétrique

– Composé d’un dipôle vertical si g mai n= 0.5, si g maout= 0.6 et ang le douver tur e = 45˚

– Possédant un dipôle horizontal si g mai n= 0.8, si g maout= 0.9 et ang le douver tur e = 30˚

L’ensemble des trois structures va donner trois figures de diffraction différentes qui se superposent sur le plan de la pupille comme représenté dans la figure 4.24. Une source parfaitement adaptée à la technologie étudiée aurait vu toutes les images de diffraction des ordres nuls et non nuls se recouvrant les unes les autres. Ce n’est ici pas le cas.

En définissant une nouvelle source répondant aux critères suivants : – Type Paramétrique

– Un dipôle horizontal si g mai n= 0.7, si g maout= 0.9 et ang ledouver tur e = 35˚ – Un dipôle vertical si g mai n= 0.45, si g maout= 0.55 et ang le douver tur e = 30˚ la figure de diffraction représentée sur l’image 4.25permet de constater que les images diffractées se recouvrent. De meilleurs résultats sont attendus, améliorations corro-borées par les simulations présentées dans la figure 4.26.

La partie 4.1 permet d’expliquer la raison d’un gain en contraste et donc en latitude d’exposition lorsque les aires se recouvrent. En plus de cela, il est possible de vérifier que lorsque les pôles opposés d’un même dipôle se recouvrent pour les ordres de diffraction zéro et plus ou moins un, la profondeur de champ est également maxi-male. En effet, la figure de diffraction de l’ordre 1 étant décalée de la valeurp1ˆ, si elle recouvre le pôle opposé distant d’une valeur 2σ, alors la formule du σ optimal 4.10 est retrouvée. Les deux valeurs étant alors égales, il est possible d’écrire :

1 ˆ p = 2σ

Il en découle des valeurs de pitch et de σ optimales définies telles que : popti mal = λ

2.N A.σ (4.14)

σopti mal =2.N A.pλ (4.15) Dans le cas où ces deux valeurs sont en adéquation, non seulement le contraste est maximal mais la profondeur de champ également.

4.2. Optimisation de la source par SMO interne

Afin de trouver la source qui soit la plus adaptée pour cette technologie, il convient de trouver la source dont les images diffractées se recouvrent le plus possible. Celle-ci donnera à terme les meilleurs résultats en contraste, donc en latitude d’exposition, ainsi qu’en termes de profondeur de champ pour les valeurs des pitchs privilégiés. Pitchs sur lesquels sont basés cette étude, donnés pour les nouveaux niveaux tech-nologiques.

Dans un premier temps, afin de se faire une première idée d’une source potentielle, un outil a été créé dans le cadre de ce travail. Cet outil permet d’obtenir facilement la représentation des images de diffraction dans le plan de la pupille, à l’aide des caractéristiques des principaux pitchs d’une technologie naissante. Les images 4.25 et 4.24 ont ainsi été obtenues.

Ce support de recherche permet de réaliser une image de diffraction à partir d’une source déjà existante et des valeurs des pitchs verticaux et horizontaux définissant la technologie. Une partie non négligeable du travail incombe alors à l’utilisateur qui doit trouver la ou les sources dont les images de diffractions se recouvrent au maximum. Ces sources peuvent être obtenues de différentes façons. Il est possible de s’inspirer de technologies déjà existantes afin d’optimiser une source. Il est éga-lement possible de récupérer des sources depuis des logiciels industriels ou depuis l’outil SMO interne. Il est finalement possible de s’inspirer de sources de partena-riats économiques ou d’imaginer de nouvelles sources en se basant sur l’expérience de l’utilisateur.

Une seconde partie du travail consiste ensuite à tester chacune des sources candi-dates et à les optimiser afin de sélectionner la meilleure d’entre elles. Des simula-tions peuvent être réalisées pour chacune de ces sources dans le but d’étudier leur impact sur la fenêtre de procédé. L’outil de simulation Panoramic a ainsi permis de faire varier différents paramètres de la source, tels que les valeurs de σ, d’angle d’ou-verture ou d’intensité. La mesure des valeurs de profondeur de champ, de pente, de taille de la fenêtre de procédé et de latitude d’exposition pour les différents pitchs étudiés ont été mise en œuvre. Quelques exemples d’optimisation sont représentés sur le graphique 4.27.

FIGURE 4.21 – Illustration du principe de génération de la source avec la méthode SMO interne.

Les différentes étapes sont ici représentées :

– Décompte des différents pitchs ainsi que de leur longueur moyenne associée

– Génération des raies pour les σ associées

– Génération des sources verticales et horizontales, somme de diffé-rentes raies pondérées

– Convolution avec le polynôme de Zernike Z22

– Somme des deux contributions verticale et horizontale

– Troncature pour n’avoir qu’un certain pourcentage de la source éclai-rée

– Trouver la source paramétrique la plus proche par méthode de régres-sion quadratique sur la mesure de la différence. Cette mesure est effec-tuée avec la méthode de décomposition en polynôme de Zernike – Convolution de la source par une gaussienne de faible écart type afin

4.2. Optimisation de la source par SMO interne

FIGURE 4.22 – Représentation du système de Köhler annexé.

FIGURE 4.24 – Image de l’ensemble des images de diffraction par les dif-férents pas de réseau présents sur la technologie étudiée.

FIGURE4.25 – Image de diffraction généré par les différents pas de réseau, avec la nouvelle source.

4.2. Optimisation de la source par SMO interne

FIGURE 4.26 – Tracé de la fenêtre de procédée pour la nouvelle source (à gauche) et pour l’ancienne source (à droite). Une augmentation flagrante de la process window est constatée.

FIGURE4.27 – Exemple d’une optimisation de la valeur de σoutd’un pôle d’une source en fonction du pitch. L’image illustre la variation de pente (ILS), de process window, de variation maximale de dose et de profon-deur de champ en fonction du la valeur de σout. Il est donc intéressant pour cette source particulière et pour des pitchs compris entre 350nm et 450nm de prendre une valeur σout = 0.62. L’idée n’est pas de donner des résultats exacts mais d’illustrer la méthode d’optimisation.