• Aucun résultat trouvé

Td corrigé td synthese vhdl - Examen corrige pdf

N/A
N/A
Protected

Academic year: 2022

Partager "Td corrigé td synthese vhdl - Examen corrige pdf"

Copied!
1
0
0

Texte intégral

(1)

IUT VA UE : ISI Réf : ENSL1 GEII- 1ère année

TD Graphes d'états Synthèse

1er exercice: Générateur monocoup Soit le programme VHDL ci-dessous:.

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity monocoup is

Port ( horloge : in std_logic;

h_1hz : in std_logic;

y1 : out std_logic;

y2 : out std_logic);

end monocoup;

architecture Behavioral of monocoup is signal etat : std_logic_vector(1 downto 0);

begin

process (horloge) begin

if (horloge'event and horloge='1') then case etat is

when "00" =>

if (h_1hz = '0' )then etat <= "01";

end if;

when "01" =>

if (h_1hz = '1' )then etat <= "10";

end if;

when "10" =>etat<="11";

when others =>etat<="00";

end case;

end if;

end process;

y1<='1' when etat ="10" else '0';

y2<='1' when etat ="11" else '0';

end Behavioral;

1

(2)

IUT VA UE : ISI Réf : ENSL1 GEII- 1ère année

1) reconstituer le graphe d'états correspondant à ce programme

2) représenter l’évolution des différents signaux sur un chronogramme (cf annexe) 3) donner la structure de réalisation de ce système (sous forme d'un schéma fonctionnel) 4) établir les équations d’évolution

5) établir les équations du combinatoire de sortie 6) On implante cette application dans un CPLD Xilinx.

- Combien de broches d’entrées/sorties seront utilisées ? - combien de macrocellules seront-elles nécessaires

-comparer les équations obtenues précédemment avec celles qui ont été générées par l’outil de synthèse (fichier fitter report):

y1 <= (NOT etat(0) AND etat(1));

etat_D(0) <= ((NOT etat(0) AND etat(1))

OR (NOT etat(1) AND NOT h_1hz));

etat_D(1) <= ((NOT etat(0) AND etat(1))

OR (etat(0) AND NOT etat(1) AND h_1hz));

y2 <= (etat(0) AND etat(1));

7) Modifier le fichier vhdl pour prévoir une initialisation asynchrone dans l’état 0 au démarrage.

2ème exercice: Générateur d'impulsions

2

forçage

val

stop

clk

ARRET

IMP

(3)

IUT VA UE : ISI Réf : ENSL1 GEII- 1ère année

La fonction du système est d'envoyer un message sous la forme d'un « train d'impulsions », suite d'impulsions IMP définies ainsi:

Caractéristiques du bit IMP : synchronisation sur front montant de clk, période égale à celle de clk,

IMP = 1 quand clk = 0.

Le train d'impulsions commence à partir d'un front montant du bit val (validation).

Il est interrompu ( IMP =0 ) soit par un niveau 0 de val, soit par un niveau 1 du bit stop; dans ce dernier cas, le système reprend son état initial.

Quel que soit l'état du système, celui-ci est ramené de façon asynchrone dans son état initial par un niveau 1 du bit de forçage. Dans son état initial et seulement dans ce cas, le système fournit un bit ARRET au niveau 1 pour signaler l'absence de message.

Tous les changements d'état doivent être synchronisés sur le front montant de l'horloge clk.

1. Traduire le fonctionnement du système prévu par le cahier des charges en dessinant les chronogrammes du système:

lorsqu'il est commandé par val et lorsque stop = 0.

lorsqu'il est commandé par val et stop.

2. Donner le graphe d'états traduisant le fonctionnement du système tel qu'il est prévu dans le cahier des charges.

3. a) Déterminer les équations du combinatoire de séquencement (dans un premier temps, on ne tiendra pas compte du bit forçage)

b) Déterminer les équations des deux sorties ARRET et IMP.

c) Prévoir l'initialisation du système de façon asynchrone par le bit forçage.

d) Comment faut-il modifier les équations d'évolution pour que la réinitialisation du système par le bit forçage se fasse de manière synchrone ?

4. A partir du graphe d'états, proposer une description du système en VHDL.

3

(4)

IUT VA UE : ISI Réf : ENSL1 GEII- 1ère année

Chronogramme à compléter pour l’exercice n° 1

4

horloge

H_1hz

etat

Y1

Y2

Références

Documents relatifs

Les quinones membranaires sont liposolubles, elles se déplacent dans la membrane interne entre les

Les différentes produits et sous-produits (riz 32, brisures, fines brisures et son) constituent la réserve stratégique ou sont vendus aux grossistes et dans

 Syndrome de la vache grasse apparaît le plus souvent chez les vaches laitières en stabulation libre (car alors, on ne peut pas très bien les rationner pendant le

 un moteur asynchrone triphasé tétrapolaire de caractéristiques : puissance utile 4656 W rendement 0,8?. facteur de puissance 0,8 fréquence de rotation 1470 tr.min

Le parcours en-ordre d’un arbre binaire de recherche nous affiche une liste triée par ordre

Contenu / Contents : Introduction à la microéconomie (l’économie mondiale, définitions) – Le long terme (croissance économique) – Le court terme (marché des biens,

FISCALITE ET COMPTABILITE DES SOCIETES.

Donner en fonction de M et de B l’énergie magnétique, le couple et la force du dipôle magnétique qu’il subit. 4°) Un four contient des atomes de lithium porteurs de