• Aucun résultat trouvé

Lithographie directe à faisceaux d’électrons multiples pour les nœuds technologiques sub-20nm

N/A
N/A
Protected

Academic year: 2021

Partager "Lithographie directe à faisceaux d’électrons multiples pour les nœuds technologiques sub-20nm"

Copied!
179
0
0

Texte intégral

(1)

HAL Id: tel-01235745

https://tel.archives-ouvertes.fr/tel-01235745

Submitted on 30 Nov 2015

HAL is a multi-disciplinary open access archive for the deposit and dissemination of sci-entific research documents, whether they are pub-lished or not. The documents may come from teaching and research institutions in France or abroad, or from public or private research centers.

L’archive ouverte pluridisciplinaire HAL, est destinée au dépôt et à la diffusion de documents scientifiques de niveau recherche, publiés ou non, émanant des établissements d’enseignement et de recherche français ou étrangers, des laboratoires publics ou privés.

pour les nœuds technologiques sub-20nm

Julien Jussot

To cite this version:

Julien Jussot. Lithographie directe à faisceaux d’électrons multiples pour les nœuds technologiques sub-20nm. Micro et nanotechnologies/Microélectronique. Université Grenoble Alpes, 2015. Français. �NNT : 2015GREAT086�. �tel-01235745�

(2)

THÈSE

Pour obtenir le grade de

DOCTEUR DE L’UNIVERSITÉ GRENOBLE ALPES

Spécialité : Nano Electronique et Nano Technologies

Arrêté ministériel : 7 août 2006

Présentée par

Julien JUSSOT

Thèse dirigée par Erwine PARGON et

codirigée par Christophe CONSTANCIAS et Béatrice ICARD préparée au sein du Laboratoire des Technologies de la Microélectronique et du Laboratoire de Lithographie du Commissariat à l’Energie Atomique et aux Energies Alternatives

dans l'École Doctorale Electronique, Electrotechnique, Automatisme et Traitement du Signal

Lithographie directe à faisceaux

d’électrons multiples pour les nœuds

technologiques sub-20nm

Thèse soutenue publiquement le 28 septembre 2015, devant le jury composé de :

Mme Jumana BOUSSEY

Directrice de Recherche, LTM Grenoble, Présidente du Jury

M. Gérard BENASSAYAG

Directeur de Recherche, CEMES Toulouse, Rapporteur

M. Brice GAUTIER

Professeur des Universités, INSA Lyon, Rapporteur

M. Olivier SOPPERA

Directeur de Recherche, IS2M Mulhouse, Examinateur

Mme Erwine PARGON

Chargée de Recherche, LTM Grenoble, Directrice de Thèse

(3)
(4)

“Three Little Birds”

À mon pote Richard

(5)
(6)

J ai e ais tout d a o d e e ie les membres du jury pour le temps consacré à la lecture de ce manuscrit, leur déplacement sur Grenoble pour la soutenance et bien évidemment pour les discussions/questions qui ont permis de parachever ces travaux de thèse. Je tiens également à remercier tout spécialement mes encadrants Erwine Pargon, Christophe Constancias et Béatrice Icard pour leur aide tout le long de ces travaux de thèse et particulièrement pour leur soutien pendant la diffi ile p iode u est la rédaction. Je remercie également Cyrille Laviron pour sa bonne humeur et son écoute bien que na a t pu le côtoyer que pendant mes derniers mois au laboratoire.

Je remercie particulièrement mes différents « co-bureau » du 438 au 4123 : Claire Sourd, Arame Thiam, Beatrice Icard, Thomas Degrouve, Cyrille Essomba et Patrick Quéméré pour les bons, très bons et excellents moments passés à parler de s ie e, de usi ue ou de tout à fait i po te quoi. Sans oublier les divers repas réalisés à l Embuscade, chez Claire, chez Arame et chez Béa.

Je remercie grandement Daniel Dumon pour les t s o euses heu es u il a pass au CDSEM le weekend pour réaliser une partie de mes images. Mais aussi Jacques-Alexandre Dallery et Abdi Farah pour le support technique sur les équipements de lithographie électronique et les divers

ha ges ue l o a pu a oi .

J ai e ais di e e i aussi à C il Vannuffel pour les discussions éclairées sur le CDSEM et aussi sur différents points relatifs à la société tous aussi importants. Mais également à Bernard Dal Zotto pour les bons produits issus de son potager/ruches et pou a oi fait ou i à la pause de midi sous un soleil de plomb plusieurs fois. Merci encore à Jessy Bustos pour les discussions, blagues et sketches au pauses, ais gale e t e deho s du ad e du t a ail et j esp e ue ça du e a ! Je remercie grandement Martin Thornton pour la récurrente mais non moins exceptionnelle citation « MoSi S*** » et les discussions à propos de séries TV fantastiques. Dédicace à Pascale Nardi pour sa bonne humeur, les pauses et les mauresques, des bisous !

N ou lio s pas o plus les soi es à la aiso ou chez Christophe Brun, assez chaotiques avec Yannick, Romain Georges, Jérôme Reche et Thomas Degrouve. Les fraises tagada sont en réalité des objets a uati ues dot s d u e apa it o soupço e de ol.

Un remerciement tout spécial pour la team Carré/Passoire/1900 à savoir Gilles, Manu, Jérémy et ‘e ald pou les so ties ap s le t a ail ue l o peut largement qualifier de géniales. À continuer donc ! Mais parmi cette équipe on trouve également Mika qui apporte sa touche de remarques acides à l e a t on moment et à qui je dois des soirées à finir au Vertigo sans savoir trop pourquoi on y était entré. Sans oublier Onin, pour des souvenirs de conférence, des cafés et une bonne humeur sans faille. Jai e ais gale e t e e ie le este des 2 très nombreuses familles que représentent les ge s du LTM et du LLIT ue j ai pu ôto e à sa oi Ni ea, Ma Fouchier, Virginie, Mathilde, Cédric, Philippe, Karine, Armel, Ahmed, Maxime, Jonathan, Shayma, Jamimi, Aurélien Fay, Aurélien Shum, Jérôme Belledent, Michael May, Marie-Line, Xavier, Sébastien Soulan, Cheikh, Loïc et beaucoup d aut es.

Les remerciements ne seraient pas complets sans les « Mandrineurs », Pompom, Simon et Manon le samedi à 17h. Il faut faire vivre les petites exploitations locales.

N ou lio s pas le désormais mondialement connu Swann et ses questionnements profonds relatifs aux horaires de fermeture des boucheries.

(7)

J e ie s aux gens avec qui le temps passé est plus que significatif et je les remercie ! En premier, Sébastien B. aka Gland aka Huitre sans qui les idées saugrenues seraient largement sous ep se t es, o pe se a à la distillatio d u e h e sous at osph e i e te. N ou lio s pas so usage des « PON » et aut es o o atop es to it ua tes et a i es. F a çois aka F….. Pi het, pour les soirées jeux fantastiques, les tartiflettes, sa peur des battes et les aulx au piment. Loic P. aka grosale pour les fous rires sur skype, le CMLC et sa tant détestée acid techno, paf la pastèque. Geoffrey B. aka Ömi pour les envolées fantastiques, « Rendez-vous compte de la magnificence de ces colonnades ! », mais peut-on payer en deux fois ? Marie-Alix aka Manix pour trouver le moyen de me supporter ! Ro, pour le très célèbre : ENCORE ! Eric et Gui pour leurs nombreuses venues à « Grenioble » résultantes en di ou lia les weekends. Ben pour les « staring contest », l i t odu tio de la pa a oïa da s les parties de Battlestar et les nombreuses discussions cinéma, entre autres. Vix et Lisa, les inséparables. Satan, ui a t s e tai e e t toujou s pas a het de ideau . Barbara, sponsor officiel des éléphants. David « photo bomber » reconnu et grand maître des jeux. Maxou, le dévoreur de mondes. Ruchette et les soirées ambiance. VG, ses rhums arrangés et ses crocs. Mini Memette, Ju, Leo, Laurie et Momo sans qui Grenoble ne serait pas vraiment pareil !

(8)
(9)
(10)

Introduction générale ________________________________________________________ 5

Chapitre I : Microélectronique, la lithographie et ses enjeux __________________________ 9

Introduction ________________________________________________________________ 9

1.

La lithographie _________________________________________________________ 10

2.

Les si es d’e positio __________________________________________________ 20

3.

La théorie liée à la lithographie électronique _________________________________ 28

4. Le LWR : un enjeu majeur pour la lithographie ________________________________ 40

Bibliographie du chapitre I ___________________________________________________45

Chapitre II : Mo e s et tech i ues is e œuv e _________________________________ 51

1.

Outils d’e positio e lithog aphie lect o i ue _______________________________ 51

2.

Procédé lithographique __________________________________________________ 65

3.

Traitement des motifs par plasma __________________________________________ 70

4.

Caractérisation des films minces de résine ___________________________________ 72

5.

Caractérisation dimensionnelle des motifs ___________________________________ 79

Bibliographie du chapitre II ___________________________________________________92

Chapitre III : Impact du dépôt d'énergie sur les performances lithographiques ___________97

1.

Mod le de si ulatio de d pôt d’ e gie ____________________________________ 97

2.

St at gie d’e positio __________________________________________________ 102

3.

Conclusions du chapitre III _______________________________________________ 127

Bibliographie du chapitre III _________________________________________________129

Chapitre IV : Procédés visant à réduire la rugosité de ligne de résine _________________ 131

1.

Effet du développement _________________________________________________ 131

2.

Procédés post-lithographie ______________________________________________ 135

3.

Combinaison des stratégies de lissage _____________________________________ 153

4.

Conclusions du chapitre IV _______________________________________________ 158

(11)

Conclusion Générale _______________________________________________________ 163

Glossaire ________________________________________________________________167

(12)
(13)
(14)

Il a sa s di e u à l heu e a tuelle les te h ologies issues de l i dust ie i o le t o i ue i pa te t la ge e t ot e ie uotidie e, à l helle de l i di idu ais gale e t d u e a i e plus globalisée. Nous sommes toujours plus connectés, on peut très facilement contrôler à distance un appa eil le t o i ue à l aide de os t l pho es, ou ie se ep e g â e au s st es de géolocalisation par satellite. La miniaturisation ainsi que la portabilité des systèmes électroniques profitent également au domaine de la recherche, par exemple des sondes autonomes permettent désormais de faire des relevés océanographiques de température avec une régularité et précision inégalées. La puissance de calcul est également un point important, elle est telle de nos jours que les ordinateurs personnels peuvent être mis en commun via internet au profit de projets de calcul dist i u à o atio de e he he Foldi g@Ho e et LHC@Ho e pa e e ple . Ce so t d i d ia les p og s do t u e g a de pa tie de l hu a it peut ficier. Ces bénéfices sont largement dus à l e t ao di ai e oissa e ue su it l i dust ie i o le t o i ue depuis ai te a t plus de a s.

En 1947, au sein des laboratoires Bell de la société AT&T, J. Bardeen et W. Brattain ont mis au point le premie t a sisto . D s le p e ie i uit i t g est fa i u . D s lo s, l i dust ie a oulu intégrer toujours plus de transistors MOS (Metal Oxyde Semiconductor) dans ses puces pour améliorer les capacités de calculs de ces dernières. Pour ce faire, elle réduit la taille unitaire des transistors. Cette ligne directrice a permis le développement de très nombreuses techniques et matériaux afin de répondre à cette problématique. Parmi ces techniques, on trouve la lithographie qui sert à la réalisation des st u tu es essai es à l la o atio des t a sisto s.

La lithog aphie est l u e des te h i ues les plus iti ues du p o d d la o atio d u e pu e électronique. En effet, c'est elle qui fixe la densité et la plus petite dimension réalisable des structures. L i dust ie utilise e o e t s la ge e t la te h i ue de photolithog aphie ui epose su l utilisatio de photon UV. Cependant, pour continuer à augmenter la densité en transistors de ses dispositifs l i dust ie p oit de e pla e ette te h i ue. Plusieurs techniques de nouvelle génération sont en ou s de d eloppe e t, pa i les uelles o t ou e la lithog aphie à ultiples fais eau d le t o s.

La lithog aphie à ultiples fais eau d le t o s est u d eloppe e t e t des outils de lithographie électronique à simple faisceau. Les technologies de lithographie à multiples faisceaux d le t o s se p opose t d a lio e la te h i ue à si ple fais eau. Cette de i e ie ue t s résolvante ne permet pas de réaliser des structures avec un débit suffisant pour que celle-ci soit utilisée pour la production en masse. Plusieurs entreprises développent des technologies à multiples faisceaux d le t o s, ota e t IM“ Na ofa i atio et MAPPE‘ Lithog aph . Les fo es a outies des deu technologies diffè e t pa l e gie d a l atio des le t o s espe ti e e t keV et keV et le o e de fais eau utilis s espe ti e e t et , la p e i e ise à l itu e de as ues pou la photolithog aphie et la se o de à l itu e di e te pou la production de dispositifs CMOS (pour Complementary MOS).

Le CEA-LETI s est asso i a e l e t ep ise MAPPE‘ Lithog aph pou aide à l e ge e d u e te h ologie de lithog aphie à ultiples fais eau d le t o s à asse e gie keV . Di i ue l e gie d a l atio des le t o s est pas u e st at gie ou a te. E effet, les uipe e tie s o t plutôt te da e à a oi des e gies d a l atio sup ieu es à keV. Ce hoi de la asse

(15)

Cependant ce choix de la basse énergie a une implication directe sur les propriétés de la lithog aphie. L tude des p o d s d di s à l e ge e d u e lithog aphie le t o i ue asse e gie est donc primordiale. Dans ce contexte, les travaux de ce manuscrit sont portés sur la compréhension des ph o es pe etta t le o t ôle d u e des g a deu s iti ues du p o d de lithog aphie, à savoir la rugosité de ligne (LWR) des motifs. Le LWR est une limite indéniable des performances des t a sisto s et doit o e i ap s lithog aphie au it es fi s pa l IT‘“ International Technology Roadmap for Semiconductors. A l heu e a tuelle, le LWR est de 4 à 5 nm après lithographie mais doit t e i f ieu à . pou les futu s œuds te h ologi ues i f ieu s à . Les t a au de e manuscrit doivent permettre de suggérer des voies de développement à suivre pour obtenir une faible rugosit pou u e lithog aphie asse e gie keV . N a oi s, les t a au o t t alis s à l aide de deux équipements de lithographie électronique, le premier un outil de Vistec Electron Beam à fais eau si ple fo d e gie d a l atio keV utilisé majoritairement) et le second le p otot pe de MAPPE‘ Lithog aph d e gie d a l atio de keV poss da t fais eau disponible dans la salle blanche du CEA-LETI.

Le p e ie hapit e de e a us it pe et d a o d de fai e le poi t su la p i ipale te h i ue de lithog aphie utilis e a tuelle e t pa l i dust ie et pou uoi u e uptu e te h ologi ue est nécessaire pour continuer à augmenter la densité de transistor des puces électroniques. Ensuite, ce chapitre permet de situer les solutions de lithog aphie à ultiples fais eau d le t o s pa i les technologies concurrentes également en lice. Finalement ce chapitre résume les multiples aspects de la lithographie électronique (propriétés des matériaux de résine, interaction électron-matière), ce qui permettra de définir les outils nécessaires à la compréhension du reste du manuscrit.

Le deu i e hapit e, lui, t aite des o e s et te h i ues is e œu e pe da t es t a au de thèse. A savoir, la présentation des outils de lithographie électronique utilisés, les conditions des étapes de préparation du matériau de résine et finalement le détail des techniques de caractérisation physico-chimiques et dimensionnelles utilisées.

Le troisième chapitre traitre des modifications des performances lithographiques notamment e ati e de LW‘ à l aide d u e st at gie d itu e o o e tio elle odifia t le o t aste de l i age a ie e.

Le quatrième et dernier chapitre, lui porte sur les procédés complémentaires envisagés pour réduire le LWR des motifs de résine obtenu après lithographie. Il vise à trouver un maximum de réduction de LWR en combinant les techniques utilisées pendant ces travaux.

(16)
(17)
(18)

Chapit e I.

Microélectronique, la lithographie et ses

enjeux

Introduction

L esso i d ia le ue o ait l i dust ie i o le t o i ue depuis ai te a t plus de a s a permis une large diminution des coûts des transistors des circuits intégrés et o s ue e t l a s pour une grande partie de la population mondiale aux bénéfices de ce développement (ordinateurs de

u eau, t l pho es po ta les, ta lettes, o jets o e t s,…

Cet essor suit la loi de Moore formulée en 1965 par Gordon E. Moore un des co-fondateurs de la société Intel. Avant son énonciation, Gordon E. Moore avait repéré que le nombre de transistors des i uits i t g s d e t e de ga e doublait chaque année (à prix constant). Il a alors émis la conjecture selon laquelle l o se atio u il a ait alis e se ait aie pou les p o hai es a es. Dès 1975, il corrigea cette assertion en précisant que le doublement apparaitrait tous les 2 ans. Cette de i e affi atio s est a e t s juste jus u à figu e I. .

(19)

La formulation de Gordon E. Moore bien que purement économique et empirique, a été le oteu du d eloppe e t de l i dust ie i o le t o i ue et a pe is l appa itio de o euses techniques permettant la réalisation de structures toujours plus petites et denses. Ces améliorations o t pas appo t u u e di i utio du oût u itai e d u i uit i t g , ais gale e t u e la ge augmentation du rapport puissance de calcul par la puissance consommée par ces circuits autorisant de e fait l e ge e de dispositifs à fai le o so atio et o iles.

Pou alise les i uits i o le t o i ues, l i dust ie utilise de o euses tapes te h ologi ues o e la lithog aphie, la g a u e plas a, l i pla tatio io i ue, la pla a isatio des su fa e et des d pôts. C est la te h i ue de lithog aphie ui pe et la alisatio des st u tu es, est u e tape u iale de la alisatio de i uits i o le t o i ues puis ue est elle ui li ite la dimension et la densité des motifs réalisables. Elle permet de réaliser des structures plus ou moins complexes constituées souvent de plots/trous et ou lignes et tranchées mais pas seulement comme le montre la figure I.2.

a) b) c) d)

Fig. I.2 : Vues de dessus réalisées en microscopie électronique à balayage de structures diverses, a) réseau de trous, b) tranchée isolée, c) réseau de lignes et tranchées, d) flèches

1.

La lithographie

L tape de lithog aphie d te i e g ale e t la taille i i ale des st u tu es alis es et do le œud te h ologi ue au uel appa tie t le dispositif fi al. On parle dans ce cas de résolution, u e eilleu e solutio pe et la atio de st u tu es toujou s plus petites et plus de ses, est pou ela u elle est l u des e jeu ajeu s de l i dust ie i o le t o i ue. N a oi s la production horaire ne peut pas t e o ise, est pou ela ue la photolithog aphie est à l heu e a tuelle la te h i ue la plus usit e pa l i dust ie. Il e iste pa ailleu s de o euses aut es techniques de lithographie, telles que la lithographie électronique, la lithographie à faisceau ionique et d aut es utilisa t des i os opes à so des lo ales i os opes à fo e ato i ue, i os opes à effet tunnel). Ces techniques présentent toutes une résolution minimale atteignable proche de la dizaine de nanomètres voire moins mais souff e t d u uel a ue de p odu tio ho ai e e ui les laisse hors course pour la production industrielle de dispositifs électroniques.

1.1. Principe

Cette partie présente brièvement le principe de la photolithographie plus précisément de la lithographie opti ue pa p oje tio , te h i ue la plus utilis e pa l i dust ie g â e à sa fo te p odu tio horaire (le lecteur pourra se reporter aux références [I.2][I.3][I.4] pou plus d i fo atio s su la

(20)

technique). Cette dernière repose sur une modification locale du matériau dit de résine à l aide de photons (similaire dans son fonctionnement à la lithographie électronique).

Le te sio spatiale des odifi atio s est o t ôl e da s e as pa u as ue d exposition qui laisse ou non passer la lumière de la source selon un dessin prédéfini. Cette technique permet donc u e itu e e pa all le d u e la ge ua tit d i fo atio s p se te su le as ue. U e le tille pe et la du tio de l i age du as ue d u fa teu de pou les uipe e ts a tuelle e t utilisés (figure I.3). De plus, le caractère fini du système optique implique que seuls quelques ordres de diffraction soient récupérés et produit donc une image du masque altérée dans le matériau de

si e o pa le d i age late te .

La limite de résolution atteignable par le procédé de photolithographie (ou de la lithographie le t o i ue d pe d d u des fa teu s sui a ts :

 des apa it s de l uipe e t ualit de l i age a ie e ou o t aste e e gie  du couple matériau de résine et procédé de développement.

Da s le as d u e li ite de solutio li e à l uipe e t, pa e e ple pou la photolithographie, une limite physique liée à la diffraction de la lumière est rencontrée. Une version si plifi e de la li ite de solutio d u uipe e t de photolithog aphie, ue l o ote a ‘, est calculée grâce au critère de Rayleigh et égale à :

= . ∗

� (eq. I.1)

� est la lo gueu d o de ise pa la sou e et � l ou e tu e u i ue de l uipe e t de lithographie qui dépend du système optique inséré dans ce dernier.

Fig. I.3 : Schéma de principe simplifié du procédé de photolithographie par projection. La création de motifs dans le matériau de résine résulte de l tape d e positio ui alise u e i age late te des otifs da s le at iau i le (en orange la résine a pas t i pa t e pa l e positio , e iolet ette de i e a t odifi e . Puis l tape de d eloppe e t pe et la révélation des motifs (cas d u e si e positi e i i, la to alit des si es sera abordée dans la partie 2 de ce chapitre)

L ou e tu e u i ue est gale à :

(21)

où est l i di e du ilieu t a e s pa la lu i e UV et le demi-angle de collection des différents ordres de diffraction en sortie du masque.

Lo s ue l o t a aille e deho s de toute li ite li e à l uipe e t, est le ouple résine/procédé de développement qui peut être limitant. La raison des limitations de résolution liées à ce couple sera abordée dans la section 2.2 de ce chapitre.

Les p og s o es des dispositifs de l i dust ie i o le t o i ue i ti e e t li s à leu s di e sio s p o ie e t d u d eloppe e t o joi t e t e les uipe e ts de photolithographie et des at iau de si e ui o t pe is depuis les a es l a lio atio des apa it s de résolution. La section suivante fait un bref résumé des choix de développement des équipements qui o t pe is d a i e au œuds te h ologi ues a tuels.

1.2. Evolution de la photolithographie

Au fil des années, afin de pouvoir produire des puces comportant toujours plus de transistors, est-à-di e e duisa t la taille de es de ie s, l i dust ie a a lio ses uipe e ts de photolithographie en réduisa t la lo gueu d o de du a o e e t is pa la sou e.

Ai si l utilisatio de la pes à apeu de e u e a pe is de g e des p e i es lo gueu s d o des utilis es et appel es espe ti e e t g-line et i-line en anglais) [I.5].

Pour continuer la réduction, des sources à base de lasers excimères de Fluorure de Krypton (KrF) et à Fluo u e d A go A F , o t t e suite utilis es, elles-ci permettant la génération de longueurs d o des de et espe ti e e t. O pa le alo s de lithographie dans les UV profonds (ou DUV, pou Deep UV . Il est à ote , ue de os jou s l i dust ie utilise la ge e t des uipe e ts utilisa t les lo gueu s d o des et .

Il a sa s di e ue l i dust ie a d elopp o joi te e t à la lo gueu d o de, l ou e tu e numérique (� ) de ses équipements de lithographie. Initialement les améliorations de NA ont été apportées grâce à la conception de systèmes de lentilles plus complexes permettant la collecte de la lumière avec des angles plus larges [I.5]. Il a t e suite e isag d i t odui e u ilieu d i di e de

réfraction plus i po ta t ue l ai pou aug e te e o e plus � , le hoi a po t su l eau ui permet, par exemple pour λ égal à 193nm de porter à . da s l ai = 1.0). On parle alors d uipe e t à i e sio ou « Wet » contrairement aux équipements dit « Dry » qui ne contiennent pas d eau . I t oduits assi e e t e s da s l i dust ie, les uipe e ts à i e sio o t permis de générer des structures de dimensions inférieures aux 65nm obtenus avec les meilleurs équipements « dry ».

Les difficultés technologiques et financières liées à la génération de sources de longueurs d o de plus fai les ue ou des s st es opti ues à ou e tu e u i ue plus i po ta te o t o duit l i dust ie à a lio e la solutio des s st es de photolithog aphie e utilisa t d aut es st at gies. O pa le de te h ologies dites d a lio atio de la solutio ou ‘esolutio E ha e e t Technologies, RET en anglais). Ces dernières sont au nombre de 3 et peuvent être combinées ou non

pou plus d i fo atio s su es te h ologies, le le teu pou a se epo te à la f e e [I.2]):

 La correction des effets de proximité (OPC, pour Optical Proximity Correction)  L utilisatio de as ues à hangement de phase (PSM, pour Phase-Shift Mask)  L utilisatio d u e illu i atio dite ho s-axe (OAI, pour off-axis illumination)

(22)

En réalité pour décrire la dimension la plus petite réalisable (appelée dimension critique minimum) avec un équipement de lithographie optique, on utilise plutôt la variante ci-après de l uatio . :

= ∗

(eq. I.3)

où est la dimension critique minimum atteinte par la technique. lui est un facteur qui dépend de l i t g alit du p o d de lithog aphie opti ue dimension et densité du motif, RET utilisés et du procédé résine).

La figu e I. p se te l olutio du fa teu en fonction des années et également quelles lo gueu s d o des o t t utilis es afi de alise des œuds te h ologi ues a ie s.

Fig. I.4 : Evolution du facteur et des lo gueu s d o des utilis es au fil des a es ep odu tio de [I.4])

“i l o o sid e les uatio s I.1 et I.3 et la figure I.4, on peut voir que les améliorations de la technique de photolithographie ont permis de réaliser des structures de dimensions inférieures à celle

ue l o o tie d ait e o sid a t u i ue e t le ritère de Rayleigh (i.e. < 0.61)

A l heu e a tuelle, les eilleu s uipe e ts de photolithog aphie à i e sio

pe ette t de p odui e des st u tu es de di e sio i i ale de tout e i p i a t jus u à pla ues à l heu e [I.6]. “i l i dust ie eut pou oi o ti ue l a lio atio des pe fo a es de ses

dispositifs, il faut mettre au point de nouvelles technologies de lithographie permettant la réalisation de structures encore plus petites et denses tout en gardant un débit conséquent.

1.3. L

ithog aphies

e ge tes a essi les pou les

œuds

technologiques inférieurs à 20 nm

L IT‘“ pou I te atio al Te h olog ‘oad ap fo “e i o du to d fi i depuis les routes de développement à suivre pour continuer la réduction de la taille des dispositifs. Elle présente e g al l olutio de la di e sio appel e de i-pas de répétition (halfpitch en anglais, abrégé en hp) pour les dispositifs DRAM (pour Dynamic Random Acces Memory). Le tableau I.1 présente la route de développement des dispositifs D‘AM et MPU pou Mi oP o esso U it p opos e pa l IT‘“ (extrait de [I.7]) depuis les œuds te h ologi ues et les te h ologies de lithog aphies e isag es.

(23)

Tableau I.1 : Nœuds te h ologi ues et te h i ues de lithog aphies asso i es Année de

production 2012 2015 2018 2021

DRAM demi-pas dans la

résine (nm) 32 24 18 14

MPU longueur de grille

après gravure (nm) 22 17 13 9.7 Technologies de lithographie envisagée pou l i dust ie  Optique 193nm à immersion avec «double patterning »  Optique 193nm à immersion avec «double patterning »  Optique 193nm à immersion avec «quadruple patterning »  EUV (13.5nm)  DSA  Nano-impression  ML2  Optique 193nm à immersion avec «quadruple patterning »  EUV (13.5nm)  DSA  Nano-impression  ML2

« Double et Quadruple patterning » so t des te h i ues a tuelle e t e plo es pa l i dust ie, elles apparaissent dans la littérature avec le terme « multiple patterning » ue l o peut traduire en multiplication de la densité.

« EUV » pou E t e e UV o espo d à u e lithog aphie opti ue utilisa t u e lo gueu d o de de 13.5nm, on parle de lithographie optique dans les UV extrêmes.

« DSA » (pour Directed Self-Asse l o espo d à l utilisatio de l auto-assemblage dirigé de copolymères à blocs.

« ML2 » (pour Maskless Lithography) correspond aux techniques de lithographie sans masque dans lesquelles on trouve les lithographies électroniques à faisceau(x) simple ou multiples.

Les sections suivantes parlent brièvement du fonctionnement des différentes techniques de lithog aphie e ge tes pou les œuds te h ologi ues i f ieu s à .

1.3.1. Techniques dites de « multiple patterning »

Les techniques de multiplication dites de « multiple patterning » permettent de doubler, uad uple ou d o tuple la de sit de e tai s otifs. Elles o t pe is à l i dust ie de o ti ue à diminuer les dimensions des dispositifs, depuis quelques années maintenant, tout en utilisant les outils de lithog aphie à i e sio . Leu appa itio est due u au eta d de d eloppe e t considérable de la lithographie EUV qui sera décrite dans la section suivante.

Il existe 3 grandes techniques de multiplication de la densité :

 LELE (pour Litho-Etch-Litho-Etch). Cette méthode consiste en une première étape de lithographie qui définit des motifs non denses (ratio largeur ligne/tranchée < 1). Les motifs sont ensuite transférés par gravure plasma dans un premier masque dur, puis une seconde étape de lithographie décalée de la première permet de réaliser des structures dans les espaces disponibles. Finalement, les motifs sont tous transférés dans le second masque dur (figure I.5)

(24)

Fig. I.5 : Représentation schématique en coupe de la méthode LELE

Cette te h i ue oûte he puis u il faut alise deu tapes de lithog aphie et deu tapes de gravure plasma.

 LFLE (pour Litho-Freeze-Litho-Etch). La méthode LFLE est dérivée de la LELE, elle a été introduite pour minimiser les coûts de la technique LELE. En effet la première étape de gravure du LELE est e pla e pa u e tape où l o fige les otifs de si e de la p e i e tape de lithog aphie. Pa figer on entend la modification physicochimique des motifs de résine pour les rendre insolubles dans la solution qui permet le dépôt du film de résine de la seconde étape de lithographie (figure I.6).

Fig. I.6 : Représentation schématique en coupe de la méthode LFLE

Cette technique nécessite néanmoins des résines compatibles a e l tape de « Gel » (consistant à un traitement chimique ou thermique) et reste une technique coûteuse.

Il est à ote ue les te h i ues LELE et LFLE souff e t d u e se si ilit a ue à l alig e e t e t e deu i eau d u dispositif o e la . En effet, ces dernières possèdent deux étapes de lithog aphie pou u e i eau. Pou les œuds te h ologi ues a a s, le o t ôle de l o e la est presque aussi drastique que le contrôle de la dimension critique des motifs. Conséquemment, leur utilisation est possiblement délaissée pour la méthode suivante.

 SAMP (pour Self-Aligned-Multiple-Patterning) où M peut être D, Q ou O (pour Double, Quadruple ou Octuple). La méthode SAMP ne contient contrairement aux méthodes LELE et LFLE u u e u i ue tape de lithog aphie. Ap s l tape de lithog aphie, o p o de à u d pôt o fo e d u at iau appel espa eu ou spa e e a glais , e d pôt est e suite pa tielle e t g a , puis l o eti e le at iau da s le uel so t alis es les lig es o pa le de otifs sacrificiels ou dummy patte s . E suite les otifs o te us da s le at iau d espa eu so t t a sf s pa g a u e plas a dans le masque dur (figure I.7).

Fig. I.7 : Représentation schématique en coupe du doublement du nombre de motifs de lignes par SADP.

Les motifs obtenus avec la technique SADP peuvent subir les étapes montrées dans la figure I. pou dou le e o e u e fois le o e de otifs. O pa le alo s de “AQP. L i dust ie a d o t

(25)

u il tait possi le de alise e o e u e fois ette technique, on multiplie alors le nombre de motifs par 8 (SAOP).

La pa ti ula it de es st at gies a e ge d u e aug e tatio du o e d tapes nécessaires à la réalisation des différents niveaux en plus de forcer les designers à repenser les règles de dessin puisque certaines géométries sont incompatibles avec ces procédés. Le lecteur pourra se reporter aux références [I.8-10]pou plus d i fo atio s su les te h i ues. Ces te h i ues pe ette t

d attei d e de fo te de sit s, ais so t peu fle i les et oûteuses pou l i dust ie, l id al se ait de pouvoir réaliser des motifs en une seule étape de lithographie.

1.3.2. EUV

– Photolithographie aux Ultra-Violet extrêmes

L utilisatio d u e lo gueu d o de di i u e pou ait pe ett e la g atio de st u tu es plus petites o e le laisse oi l uatio I.3. Il existe une technologie de lithographie émergente qui va dans ce sens. On parle de lithographie EUV, elle se propose d utilise u e lo gueu d o de de . photo s d e gie e i o eV . L utilisatio d u e telle lo gueu d o de pose uel ues p o l es ue l i dust ie essa e de soud e depuis p es ue a s, ota e t la puissa e de la source. Pour une quantit d e gie do e à d pose da s le at iau i le o pa le de dose , u e fai le puissa e sou e i pli ue u e du e i po ta te d e positio au a o e e t. L o je tif est de pouvoir produire environ 100 plaques par heure avec un seul équipement de lithographie EUV.

La g atio d UV de lo gueu d o de . est p o l ati ue, les ato es eut es et la matière condensée ne peuvent pas produire une telle radiation. Pour générer des photons à haute énergie, il faut utiliser par exemple des plasmas possédant des espèces ionisées auxquelles on peut eti e des le t o s plus fo te e t li s à l ato e ui les po te. Les d eloppe e ts i dust iels utilise t a tuelle e t u plas a d tai . Ce de ie est g g â e à l e itatio de gouttelettes d tai pa un laser CO2 de forte puissance (>200kW).

Un autre problème que rencontre la technique est que tous les matériaux absorbent à une telle lo gueu d o de et li ite t o s ue e t l e gie d pos e da s le at iau à st u tu e . L i t g alit des opti ues doit donc être sous vide. Un développement particulier a permis de générer des at iau ulti ou hes Mo/“i ui a so e t ue % la lu i e EUV. La lithog aphie EUV utilise donc des optiques réflectives contrairement aux optiques en transmission utilisées dans les équipements 193nm. Au final la puissance qui arrive au niveau de la plaque de silicium est o sid a le e t di i u e et e les p otot pes pe ette t u e p odu tio d e i o pla ues e u e jou e soit u e ua a tai e de pla ues à l heu e, e o e loi de l o je tif des pla ues à l heu e [I.11].

Co pte te u des apa it s de solutio d u s st e EUV, le oi d e d faut e nanométrique sera imagé, réduisant ainsi le rendement de la technique. Un des soucis de la lithographie EUV est de garder ses optiques propres de toute contamination. Cette dernière peut de plus t e sujette à de la oissa e de at iau a o s issus du d gazage d esp e des at iau pol es de si e e plo s pe da t l e positio o pa le de d gazage et croissance).

L uipe e t seul de lithog aphie EUV oûte plus de M$, le ajout du p i des jeu de masques nécessaires aux expositions rend finalement la technique extrêmement coûteuse.

(26)

Les copolymères à blocs, sont des chaines polymères constituées de deux homopolymères eli s pa u e liaiso o ale te. Ils peu e t t e li ai es, g eff s ou e toile. Pa e e ple, le as d u copolymère linéaire à deux blocs constitué de deux comonomères A et B sera représenté AAAAA-BBBBBB et noté PA-b-PB. Soit N le nombre total de monomères du copolymère considéré et fA la

fraction en comonomère A, on a alors fA*N comonomères A et (1-fA)*N comonomères B. Pour un tel

polymère on peut calculer la grandeur XN (paramètre d i o pati ilit de Flo -Huggins) qui rend

compte des interactions entre les deux blocs. Si XN devient suffisamment important (en général > 10.5),

les blocs sont non-miscibles et on peut observer la formation de nano-domaines périodiques.

Selon la valeur de N et fA, il a t o t ue les opol es li ai es pou aie t s o ga ise

e plusieu s st u tu es d uili e figu e I. : Lamellaire (notée L), hexagonale (notée H ou C), bicontinue (notée G, pour gyroid) et sphérique (notée S) [I.12].

Fig. I.8 : Représentation schématique des phases obtenues avec un copolymère di-bloc linéaire en fonction de la fraction en comonomère A. [I.13]

L i dust ie i o le t o i ue t a aille depuis uel ues a es pou utilise à so a a tage e ph o e d o ga isatio p iodi ue. Il est e effet possi le d i t odui e de tels opol es da s les p o d s de la i o le t o i ue et de alise lo ale e t à l aide de st u tu es p e ista tes pa e e ple u e o ga isatio di ig e du opol e d pos . L o ga isatio dirigée dépend de plusieurs pa a t es o e l paisseu du fil de opol e, l affi it des lo s du opol e a e les at iau e i o a ts. “elo la thode utilis e pou alise l o ga isatio des opol es à lo s on parle de grapho-épitaxie ou chemo- pita ie. U e fois l o ga isatio alis e, o peut alise le

et ait s le tif d u des deu lo s du opol e afi de g e de ou elles st u tu es.

E i o le t o i ue o peut t ou e à l heu e a tuelle di e ses utilisatio s de ette auto-organisation. La figure I.9 montre par exemple son utilisation pour réduire la taille et multiplier le nombre de trous de contact de motifs guides.

Fig. I.9 : Images en microscopie électronique en vue de dessus de motifs copolymère PS-b-PMMA permettant la formation de cylindres perpendiculairement au substrat dans des motifs de guidage réalisés avec une technique de lithographie

(27)

Les copolymères à blocs permettent également de réaliser la réduction de la période de motifs de lignes et tranchées (line and spaces, abrégé en L/S, figure I.10)

L att ait de ette te h i ue side da s deu poi ts :

 “o oût od , il est e effet possi le d utilise di e ses te h i ues de lithog aphie pou générer les motifs de guidage et donc continuer à utiliser des équipements de lithographie optique 193nm par exemple.

 La taille des st u tu es t s fai les alisa les. D e i o , oi e oi s a e e tai es formulations de copolymères. [I.14]

a)

b)

Fig. I.10 : Images en microscopie électronique à balayage de motifs L/S, (a) motifs guides (lithographie électronique), (b) motifs guides + copolymère à bloc lamellaire (reproduction de [I.14])

Elle est a oi s sujette à des d fauts d o ga isatio lo au ui pou l i sta t e pe ette t pas so utilisatio d u poi t de ue de p odu tio i dust ielle pou la alisatio de pu es o pa le de défectivité).

1.3.4. Nano-impression

La technique de lithographie appelée nano-impression, permet la réalisation de motifs t idi e sio els o ple es pa appli atio d u e d fo atio da s u at iau pol e à l aide d u oule poss da t des i o/ a o-structures sur sa face active (en contact avec le matériau polymère organique ou inorganique, cf. figure I.11).

La formation de motifs repose sur le fait que le matériau de résine puisse remplir les cavités du moule et garde la forme imprimée après démoulage. On rencontre les termes de nano-impression assistée thermiquement ou par les UV. La première génère les motifs dans le matériau de résine porté à une température supérieure à sa température de transition vitreuse (Tg), puis on procède au retrait

du moule à une température inférieure à la Tg. La seconde utilise un moule transparent aux UV et fait ti ule le at iau pol e sous l a tio des UV, puis o p o de au et ait du oule.

Fig. I.11 : Schéma de principe de la technique de nanoimpression en vue en coupe

Contrairement aux techniques de lithographie optique et électronique où la génération de motifs est due à la transformation chimique locale du matériau de résine (on parle de contraste

(28)

chimique), la nano-impression permet de réaliser des motifs par « contraste topologique » et permet donc la réalisation de structures dans une très large gamme de matériaux.

Des structures générées avec la nano-impression de dimensions inférieures à 15nm ont été reportées dans la littérature [I.16-17]. Néanmoins pour réaliser de telles structures il faut produire un

oule poss da t la st u tu e o pl e tai e e ui essite l utilisatio d aut es te h i ues de lithog aphie à dispositio lithog aphie opti ue, le t o i ue, et … .

Cette technique souffre néanmoins de la présence de défauts dans les motifs produits, souvent g s à l tape de et ait du oule à ause de ph o es d adh sio et de f i tio à l i te fa e moule-résine [I.18].

La simplicité de la technique et la large gamme de matériaux imprimables permet à des domaines variés comme la photonique, le stockage magnétique et les biotechnologies de bénéficier de nanostructures réalisées avec la nano-impression. La nano-impression est une technique peu coûteuse en comparaison aux autres techniques de lithographie et son champ dappli atio est pas restreint au domaine de la microélectronique. Pou plus d i fo atio su la te h i ue le le teu pourra se reporter aux références [I.15] [I.19] [I.17] [I.20].

1.3.5. ML2

– Lithographie électronique simple et multifaisceaux

Dans cette section relative aux techniques sans masque (ML2) seules les lithographies électronique simple et multifaisceaux sont abordées. En effet, on peut également trouver la lithog aphie à fais eau d io et les te h i ues utilisa t des i os opes à sonde locales (microscopes à force atomique et à effet tunnel) parmi les techniques sans masques.

La lithographie électronique (notée ebeam pour electron beam) tout comme la photolithog aphie epose su la odifi atio hi i ue lo ale d u at iau, da s e cas le dépôt d e gie essai e à la odifi atio du at iau i le est alis à l aide du ala age d u fais eau d le t o s et l tape de d eloppe e t le a les otifs. Il s agit d u e itu e s ue tielle, l itu e d u otif o plet e uie t le passage du faisceau au-dessus des zones définies par l utilisateu . Les le t o s g s pa la sou e so t po t s à l e gie de fo tio e e t de l uipe e t g ale e t et keV g â e à l appli atio d u e te sio dite d a l atio .

Le détail de la constitution des équipements relatifs à la technologie de lithographie électronique à faisceau(x) simple et multiples est décrit dans le chapitre II puisque cette dernière pe et d’i t odui e les uipe e ts utilis s au ou s de es t avau de thèse.

La résolution atteinte par la technique est souvent limitée par la taille du faisceau qui balaye la i le et est de l o d e de g a deu de ette so de. La te da e g ale pou aug e te la solutio de la te h i ue est d aug e te la te sio d accélération des électrons. En effet, cela limite les ph o es d a e atio s da s le fais eau et pe et do de di i ue la taille du fais eau. N a oi s e est pas le seul it e pe etta t d a oi u fais eau de fai le taille. Le détail des phénomènes physiques li s à l’utilisatio d’ le t o s o e ua ta pou v hi ule l’i fo atio des motifs à réaliser est abordé dans la partie 3 de ce chapitre.

Par ailleurs, les travaux issus de la littérature ont montré la possibilité de réaliser des motifs isolés de 2 nm de dimension critique et des motifs périodiques de 5 nm de demi-pas [I.21].

Un des avantages indéniables de la lithographie électronique est sa flexibilité. Celle-ci repose sur la capacité de pouvoir réaliser des structures sans masques, en effet les motifs à réaliser dans le

(29)

matériau cible sont dessinés sur ordinateur puis décomposés en formes élémentaires telles que des carrés, rectangles, triangles (inclinés à 0 ou 45° par rapport aux axes du substrat) ou des points (que l o ote pi els selo l uipe e t utilis . Cette tape ue l o o e p pa atio des do es permet de transformer un dessin dans un format lisible par la machine de lithographie.

Bie ue la te h i ue soit t s sol a te et fle i le, elle souff e a oi s d u a ue de d it o sid a le. “o utilisatio à l heu e a tuelle o e e esse tielle e t la atio de as ues pour la photolithographie, le prototypage et le test de dispositifs en avance de phase. Le temps d itu e d u e pla ue de sili iu est de l o d e de la e tai e d heu e a e u outil à fais eau gaussie et de la dizai e d heu e a e u outil à fais eau fo . Depuis u e dizai e d a e environ, quelques entreprises telles que MAPPER lithography, IMS Nanofabrication, KLA-Tencor et d aut es o t p ojet l id e de alise des uipe e ts d e gie alla t de keV à keV o po ta t plusieu s fais eau d le t o s ui i e t e pa all le afi d utilise les a a tages de la fle i ilit et de la grande résolution de la technique tout en augmentant so d it. O pa le alo s d uipe e ts de lithographie électronique multifaisceaux (multibeam en anglais).

Le CEA-LETI s est asso i a e MAPPE‘ Lithog aph u e e t ep ise holla daise afi d aide au développement de la technologie de lithographie électronique multifaisceaux basse énergie le t o s d e gie keV – te sio d a l atio de kV . Ce hoi de la asse e gie a t alis pou pe ett e la alisatio des otifs a e u e dose plus fai le u à haute e gie l e pli atio du phénomène se a fou ie da s la se tio elati e à l i te a tio le t o ati e plus loi da s e hapit e . Cette te h ologie pou a à te e p odui e des dispositifs pou les œuds te h ologi ues a tuels et futu s. L uipe e t fi al p u o po te a fais eau et pe ett a l itu e de pla ues pa heu e pou u oût d e i o M$. Les travaux de thèse de ce manuscrit visent à contribuer au développement de cette technologie.

1.3.6. Comparatifs des techniques pour les

œuds avancés

Le tableau I.2 résume les performances relatives actuelles des différentes techniques de lithog aphie e d eloppe e t pou les œuds te h ologi ues i f ieu s à .

Tableau I.2 : Résumé des performances relatives des techniques de lithographie nouvelle génération Technique de

Lithographie Résolution Débit Coût Défectivité Flexibilité Légende

Multiple Patterning + - - ? - - Mauvais

EUV + AD - | - | Neutre

DSA + - + - - + Bien

Nano-impression + + + - + AD A démontrer

Electronique

multifaisceaux + AD + | + ? Inconnu

Pour la résolution, les évaluations sont faites par rapport à la technique de photolithographie 193nm Pour le reste ce sont des évaluations entre les différentes techniques réalisées grâce aux informations

contenues dans les sections précédentes

(30)

Les si es d e positio so t des at iau pol es ui oie t leu s p op i t s ph si o-hi i ues lo ale e t odifi es sous l e positio à u flu de photo s ou d le t o s la photolithographie et la lithographie électronique partagent de nombreuses formulations de résine à uel ues o stitua ts p s . L e positio doit e u o t aste hi i ue pou pe ett e la révélation des motifs.

La modification locale du matériau va le rendre soluble ou insoluble dans le bain révélation (dit étape de développeme t . Le fait de de e i solu le ou i solu le ap s e positio pe et d i t odui e l e iste e de fa illes de at iau de si e. Les si es dites positi es et les si e dites gati es (figure I.12).

Fig. I.12 : ‘ep se tatio s h ati ue d u e sine positive et négative. Les zones violettes ont été modifiées par l e positio o t ai e e t au zo es o a ges.

Les résines positives subissent des modifications telles que leur poids moléculaire moyen se voit diminuer sous exposition par coupure de liaiso s o ale tes, leu o sta te d a idit pKa peut également être modifiée. Les zones exposées dans ce cas deviennent soluble après exposition, les parties non-insolées demeurent (figure I.12).

Les résines négatives, elles, voient leur poids moléculaire moyen augmenter et/ou la polarité de leurs groupements latéraux changer sous exposition [I.73]. Les zones exposées deviennent insolubles,

les parties non-insolées sont solubilisées.

Les résines initialement en solution, sont déposées sous forme de films fins sur les matériaux de l e pile e t de lithog aphie. Les te a ts et a outissa ts de la p pa atio et t aite e t des fil s de résine sont décrits au chapitre II dans la partie relative au procédé lithographique.

De manière générale, il faut une dose finie par unité de volume pour changer les propriétés des si es. O pa le de se si ilit de la si e. U e si e est dite se si le si la dose d e positio e uise est fai le et elle pe ett a do u e p odu tio ho ai e plus i po ta te u u e si e oi s se si le. C est l u des it es les plus i po ta ts ui a pe is l e ge e des si es à a plifi atio chimiques décrites dans la section suivante.

2.1. Evolution des résines

Le fo t d eloppe e t i dust iel u a su i l i dust ie i o le t o i ue a la ge ent dirigé l olutio des at iau de si e, ota e t e ati e de se si ilit . Il a pe is l appa itio des résines dites à amplification chimique, ce concept a été découvert et largement développé par l e t ep ise IBM.

(31)

Il existe deux groupes de résines :

1. Les résines dites conventionnelles (ou non-amplifiées), souvent utilisées en lithographie électronique à simple faisceau puisque ne répondant pas à des critères de production horaire. Dans ces résines on trouve :

 L H“Q pou H d oge “ilses uio a e ui est u silses uio a e o stitu d u e age cubique et dont les atomes de silicium comportent un hydrogène, il a pour formule brute H8Si8O12 (figure I.13). Ce at iau ti ule sous e positio à u fais eau d le t o s et se t

de résine négative.

Fig. I.13 : Représentation en formule topologique d u silses uio a e à age u i ue, lo s ue les g oupe e ts ot s ‘ so t e pla s pa des H o o tie t l H“Q.

 Le poly(méthacrylate de méthyle) (abrégé en PMMA) est lui un matériau utilisée comme résine positive (figure I.14).

Fig. I.14 : ‘ep se tatio e fo ule topologi ue d u e hai e li ai e de PMMA

Ces résines sont souvent utilisées par les laboratoires universitaires, puisque leur structure chimique est entièrement connue. Il existe d aut es si es o -a plifi es ais e poi t est pas développé ici, puisque non-relatif à ces travaux de thèse.

2. Les résines amplifiées chimiquement (notées CAR, pour Chemically Amplified Resist) largement utilisées en photolithographie. Elles répondent au critère de production industriel de la se si ilit g â e à la p se e de ol ules ui sou ises au a o e e t d e positio photo s ou électrons) vont libérer des espèces chimiques entrainant de nombreuses réactions catalytiques. Ces molécules sont appel es photog ateu s d a ides a g e PAG, pou PhotoA id Ge e ato et libèrent un proton dans la matrice polymère qui peut ensuite diffuser et réagir. Cela permet de limiter la dose incidente puisque les modifications chimiques ne sont plus dues uniquement au rayonnement. Le polymère représente environ 95% de la masse du film de résine, le PAG et les autres constituants représentent le reste de la masse.

(32)

Pour la majeure partie des résines positives à amplification chimique, les polymères sont des chaines linéaires avec des groupements latéraux présentant des fonctions ester entre autres. Dans les zo es e pos es, la li atio d u p oto pa le PAG a o duire à la déprotection des fonctions esters des groupements latéraux de la chaîne polymère pour former des acides carboxyliques. Un seul acide peut déprotéger plusieurs centaines de sites fonctionnels [I.22].

Ces matériaux de résine contiennent également une base appelée « quencher ». Le rôle de la ase est de eut alise e pa tie l a ide et li ite sa diffusio da s les zo es o -exposées. La présence de l a ide et de la ase pe et de e fo e le o t aste hi i ue. “i ple e t, la zo e e pos e doit p se te u a i u de sites d p ot g s afi d assu e la solu ilit de ette zo e lo s du développement et la zone non-exposée doit présenter le moins de sites déprotégés possibles afin de limiter au maximum la solubilité des chaines de cette zone lors du développement. Au final les actions conjuguées des acides et des bases créent une zone où la déprotection des groupements latéraux des hai es pol e de la si e est telle ue est da s ette zo e ue se a d fi i le o d du otif ap s révélation.

Remarque : Depuis quelques années on peut voir certaines plateformes de résine comporter également une base photo-décomposable (PDB), ces dernières pe ette t d apporter un contraste chimique plus important en dégradant par irradiation (photon) les molécules de bases présentes dans les zones exposées.

Brève évolution des résines

Les ha ge e ts de lo gueu d o de e photolithog aphie o t e t ai u e odifi atio adi ale des pol es utilis s pou es lithog aphies. E effet est le PAG ui doit t e i pa té par la radiation incidente, la chaine polymère associée doit absorber le moins possible cette radiation sous pei e de g e des h t og it s de dose d e positio e t e le haut et le as du fil de si e.

C est pou ela ue de os jou s o pa le souvent en microélectronique de plateformes de résines, notamment des plateformes 248nm (KrF) basées sur des monomères de para(hydroxystyrène) et A F as e su des o o es d a late/ tha late. Ces d o i atio s so t elati es à la lo gueu d o de opti ale d utilisatio de es si es, la figu e I. p se te les e e ples de structures de telles résines.

a) b)

Fig. I.15 : Représentations de chaînes polymères de résines amplifiées chimiquement, (a) 248nm comportant des comonomères parahydroxystyrène et 1-(tert-butoxy)-4-vinylbenzène [I.23] et (b) 193nm (reproduction de [I.24], les noms

en lettres capitales sont les versions abrégées des noms commerciaux anglais des comonomères du polymère de résine, MAMA = Meth l Ada a ta e MethA late, αGBLMA = alpha-GammaButyrolactone MethAcrylate et HAMA =

(33)

C est la fo te a so a e des adiatio s de lo gueu d o de de des pol es contenant des monomères para(hydroxystyrène) qui a conduit au passage des résines 248nm à 193nm (figure I.16).

Fig. I.16 : Cou es d a so a e de pol es de t pe pol pa ah d o st e et pol a late pour la gamme de lo gueu d o de de à ep odu tio de [I. ])

La du tio e isag e de la lo gueu d o de à . lithog aphie EUV e pose a pas e ge e de p o l e puis ue tous les at iau so t a so a ts à ette lo gueu d o de. Pour la lithographie électronique les phénomènes physiques mis en jeu sont différents (cf. partie 3 de ce

hapit e et es o sid atio s d a so ptio o t pas lieu d t e.

Les plateformes de résines destinées à la lithographie EUV peuvent donc comporter un large panel de monomères. Certaines sont basées sur des plateformes de types 193nm uniquement et d aut es poss de t des o o es elatifs au deu platefo es. Les si es d elopp es pou la lithographie EUV sont compatibles avec une utilisation en lithographie électronique. Dans le cadre de es t a au de th se la si e utilis e est u e e sio l g e e t odifi e d u e si e i itiale e t destinée pour la lithographie EUV, qui combine des monomères relatifs aux plateformes 248nm et 193nm (cf. chapitre II, section 4.1.1).

Il semble également important de préciser que dans le cas des résines amplifiées chimiquement les plus récentes, les fournisseurs de ces matériaux refusent de communiquer la formule chimique ou même la composition atomique de ces dernières. Informations qui pourraient aide la ge e t à la o p he sio de ph o es is e jeu lo s de l tape de lithog aphie le t o i ue ais gale e t lo s des t aite e ts des fil s de si e pa di e s p o d s. C est alo s à l utilisateu de la aractériser lui-même avec les outils à disposition.

2.2.

C it es de pe fo a e d’u e si e

Il a g a ds it es pe etta t l aluatio des pe fo a es d u e si e : la sensibilité, la résolution et la rugosité.

Sensibilité :

Pour comparer la sensibilité des résines, on détermine la dose nécessaire à la modification de la résine sur toute la hauteur du film pour une large surface. On parle alors de dose-to-clear. On la détermine en mesurant à l aide d u ellipso t e l paisseu du film de résine après développement

(34)

e fo tio de la dose d e positio . Lorsque celle-ci atteint une valeur nulle on a atteint la dose-to-clear. Une telle courbe est appelée courbe de contraste (figure I.17).

Une caractéristique importante des résines, comme le montre la courbe de contraste de la figure I.17, est son comportement dit à seuil. On peut nettement voir que le film de résine ne perd que t s peu d paisseu e fo tio de la dose jus u à u e e tai e dose ap s la uelle toute l paisseu est retirée pour une très faible augmentation de la dose. Une résine idéale aurait un comportement i ai e a e au u e pe te d paisseu jus u à u e dose do e et u e pe te totale d paisseu ap s ette dose. N a oi s les si es s a te t de e o po te e t id al et o od lise en général la pe te d paisseu e fo tio de la dose pa la fo tio :

=

(eq. I.4)

où est l paisseu du fil de si e, l paisseu i itiale du fil de si e, est la dose d e positio , la dose-to-clear et le contraste de la résine. Graphiquement correspond à la pente de la tangente à la courbe de contraste en . Da s le as d u e si e gati e o e pla e par – da s l uatio I.4. 25 50 75 100 0 10 20 30 40

50

Courbe de contraste expérimentale

Ajustement analytique

E

p

a

is

se

u

r

si

d

u

e

ll

e

(

n

m

)

Dose d'exposition (µC/cm²)

D

0

Fig. I.17 : Courbe de contraste expérimentale réalisée avec le Vistec SB3054 (50kV) et son ajustement analytique (pour un e pile e t si e d tude su sili iu .

Plus est faible plus la résine est sensible et inversement. Un fort contraste est synonyme, en général, de bonnes capacités de solutio ais e est pas u it e suffisa t pou l affi e .

Le poids moléculaire du polymère de la résine et sa distribution impactent la courbe de contraste et donc la sensibilité et la résolution de la résine [I.26].

 Le poids moléculaire moyen. Plus il est grand, moins une résine positive sera sensible (et inversement pour une résine négative).

 L i di e de pol ol ula it , ot et égal au rapport de la masse molaire moyenne e asse pa la asse olai e o e e e o e. Lo s u il est gal à 1 les chaînes ont toutes le même nombre de monomères. Plus cette valeur est proche de 1 meilleur sera le contraste. En effet, si est pas gal à , le at iau p se te a des hétérogénéités de propriétés de solubilité et les chaines de plus faible poids ol ulai e au o t te da e à t e solu le pou des oi s g a des doses d e positio da s le as d u e si e positi e .

(35)

La fai le pe te d paisseu de la ou e e p i e tale isi le pou les doses a a t la fo te pente est appelée « dark-erosion » et montre que la résine même faiblement exposée est soluble dans le ai de latio . Ce ph o e est faste au pe fo a es lithog aphi ues, puis u il pa ti ipe da s le as d u e si e positi e au et ait d u e pa tie de la si e e da s des zo es faiblement exposées.

Résolution :

La résolution est la taille minimale des structures réalisables avec le matériau de résine. Lo s ue l uipe e t de lithog aphie est pas li ita t e te es de solutio , est-à-di e u il est capable de produire un contraste en énergie suffisant, le facteur limitant peut être la résine. La

solutio de la si e est i ti e e t li e au o t aste hi i ue alis g â e à l e positio .

Les résines non-a plifi es hi i ue e t e poss da t pas d esp es i duisa t des modifi atio s hi i ues pa diffusio d u e ol ule sp ifi ue pe ette t l o te tio d u contraste chimique très proche du contraste en énergie, elles possèdent les meilleures capacités de résolution.

Da s le as de si es a plifi es hi i ue e t, est la lo gueu de diffusio d a ide ui a limiter la résolution de la résine (figure I.18). Plus cette longueur de diffusion est grande, plus il est difficile de contrôler finement la zone dans laquelle les modifications chimiques de la résine ont lieu, le contraste chimique est impacté, néanmoins les résines à amplification chimique sont les matériaux de lithographie actuels présentant les plus fortes valeurs de contraste. Le phénomène est en général peu limitant dans le cas de motifs isolés, cependant dans le cas de plusieurs motifs, si on rapproche de plus en plus les structures à réaliser on aboutira finalement à la dimension minimale réalisable dans le matériau.

Fig. I.18 : ‘ep se tatio s h ati ue du ph o e de diffusio d a ide

E g al, la p o du e pou d te i e la solutio est d e pose des otifs de plus e plus denses pour des larges plages de dose, finalement ou trouvera une densité de motif ultime pour laquelle u il e iste u u e seule dose sa s d fauts, dose e dessous de laquelle on ne serait pas résolu et dose au-dessus de laquelle on serait surdosé.

Rugosité de ligne (LWR/LER) :

La rugosité de ligne se caractérise par deux paramètres le LWR et le LER (pour Line Width Roughness et Line Edge Roughness). Elle se matérialise par des bords de motifs irréguliers (figure I. .a . Le LW‘ est d fi i o e fois l a t-type de la distribution des dimensions critiques (CD) du motif de ligne :

= √�− ∑� − � ²

=

(eq. I.5)

� est le nombre de mesures de CD réalisées le long de la ligne, est la valeur de CD de la ligne au point de mesure j et � la valeur moyenne du CD sur les N mesures (figure I.19.a)

(36)

a) b)

Fig. I.19 : (a) Image de microscopie électronique à bala age e ue de dessus d u otif de lig e o t a t di e ses esu es de CD le lo g de la lig e. ‘ep se tatio s h ati ue du LE‘ dista e e t e le o d d u otif de lig e et

la position moyenne du même bord)

Le LER quant à lui rend compte de la d iatio fois l a t-type) de la distribution de la distance entre un bord par rapport à la position moyenne de ce même bord, on a donc un LERgauche et

un LERdroit (figure I.19.b). Les LER gauche et droit et le LWR sont liés par leurs variances et la relation :

� = � + � − ∗ ∗ � � (eq. I.6)

ρ est le coefficient de corrélation entre les bords, la figure I.20 représente les cas extrêmes de corrélation des bords.

Fig. I.20 : Représentation schématique de la corrélation des bords des motifs

A l heu e a tuelle, la ugosit de lig e est de e ue u des fa teu s iti ues li ita t la miniaturisation des transistors. En effet, cette dernière impacte négativement les performances des transistors en générant des courants de fuite [I.27-34]. Il est donc crucial de la déterminer avec précision.

Le compromis RLS

On trouve beaucoup dans la littérature le terme de compromis RLS (ou RLS tradeoff, pour Resolution – LWR/LER – “e siti it t adeoff . Il e p i e l i apa it de pouvoir améliorer à la fois de faço o s ue te la solutio , la ugosit et la se si ilit d u e si e à a plifi atio hi i ue et est sou e t ep se t sous la fo e d u t ia gle figu e I. .

(37)

Au cours des dernières années, les résines à amplification chimique ont été largement a lio es e te es de se si ilit et solutio . Pa o t e, les o je tifs de ugosit fi s pa l IT‘“ e so t lai e e t pas attei ts pou les œuds te h ologi ues a a s ui e ui e t u e rugosité i f ieu e à . pa e e ple pou le œud alo s u a tuelle e t les aleu s de LW‘ ap s lithographie sont de 4 à 5 nm.

Ainsi, les travaux de cette thèse visent à mieux comprendre la génération de la rugosité de lignes de résine par lithographie électronique afin de proposer des solutio s pou l’a lio e .

3. La théorie liée à la lithographie électronique

Cette pa tie se o sa e à la des iptio des ph o es ph si ues li s à l utilisatio d le t o s, d a o d les ph o es li s au o portement des électrons accélérés dans le vide et ensuite les interactions entre les électrons et le matériau cible.

3.1.

L’ le t o a

l

da s le vide

3.1.1.

Co po te e t de l’ le t o

Lo gueu d’o de des le t o s :

La lo gueu d o de λ des le t o s est do e pa l uatio de De B oglie :

λ =

ℎ (eq I.7)

ℎ est la constante de Planck et la ua tit de ou e e t de l le t o a l à la te sio V. La vitesse classique d u le t o o - elati iste est do e pa l uatio :

classique

= √

eV (eq 1.8)

où e est la ha ge l e tai e de l le t o et m0la asse de l le t o au epos.

Ai si o peut do e la lo gueu d o de de l le t o o -relativiste) égale à :

λ

classique

=

=

classique

=

√ eV (eq I.9)

Lorsque des électro s so t a l s au te sio s de kV et kV, o o tie t d ap s l uatio I.8 des vitesses égales à environ 14% et 44% de la vitesse de la lumière dans le vide c (égale à 299 792 458 m.s-1. U le t o a l à kV a do u e itesse telle u il e peut plus être

o sid o elati iste et sa lo gueu d o de s it :

λ

relativiste

=

√ eV

√ + eV 2� �²

Figure

Fig. I.4 : Evolution du facteur  et des lo gueu s d o des utilis es au fil des a es  ep odu tio  de  [I.4] )
Fig. I.7 : Représentation schématique en coupe du doublement du nombre de motifs de lignes par SADP
Fig. I.8 : Représentation schématique des phases obtenues avec un copolymère di-bloc linéaire en fonction de la fraction en  comonomère A
Fig. I.27 : Evolution du logarithme de la dose en fonction de r² (avec r²=CD²/4) et ajustements linéaires associés  (reproduction de  [I.47] )
+7

Références

Documents relatifs

Leur classification a permis de différencier les tumeurs vasculaires constituées essentiellement par les hémangiomes et les malformations vasculaires qui sont divisées par

L’archive ouverte pluridisciplinaire HAL, est destinée au dépôt et à la diffusion de documents scientifiques de niveau recherche, publiés ou non, émanant des

Dans le cadre de la vidéochirurgie des tumeurs mediastinale la nécessité d’une conversion vers les approches ouverte dépend à la fois de sa taille et de

Ces observations n’ont, en soi, rien d’extraordinaire, si ce n’est qu’elles interrogent toutes la notion de distance à partir d’un regard sur les pratiques recréatives.

Une classification moins récente, mais plus détaillée peut être trouvée dans [PEC01], où parmi les méthodes énumérées, on trouve la synchronisation hyper - chaotique, qui

Pour le filtre passe-bande ULB à deux résonateurs SIR simulé, la fréquence centrale du filtre est obtenue autour de 7 GHz, la largeur de bande est comprise entre 3.6 et 10.3 GHz,

Notre projet s’intitule « Centre de Thalassothérapie à Eco gestion Environnementale ».Notre mémoire est composé de quatre chapitres : Le premier a pour but de donner toutes

For a gas such as HCFC–22, with an annual growth rate of 5 pptv/yr, the mean NH tropospheric surface HCFC– 22 vmr in 2003 was 166 pptv compared to 150 pptv in the SH (based on