• Aucun résultat trouvé

Hybrid-DBT: Hardware Accelerated Dynamic Binary Translation

N/A
N/A
Protected

Academic year: 2021

Partager "Hybrid-DBT: Hardware Accelerated Dynamic Binary Translation"

Copied!
2
0
0

Texte intégral

(1)

HAL Id: hal-02155019

https://hal.archives-ouvertes.fr/hal-02155019

Submitted on 13 Jun 2019

HAL is a multi-disciplinary open access archive for the deposit and dissemination of sci- entific research documents, whether they are pub- lished or not. The documents may come from teaching and research institutions in France or abroad, or from public or private research centers.

L’archive ouverte pluridisciplinaire HAL, est destinée au dépôt et à la diffusion de documents scientifiques de niveau recherche, publiés ou non, émanant des établissements d’enseignement et de recherche français ou étrangers, des laboratoires publics ou privés.

Hybrid-DBT: Hardware Accelerated Dynamic Binary Translation

Simon Rokicki, Erven Rohou, Steven Derrien

To cite this version:

Simon Rokicki, Erven Rohou, Steven Derrien. Hybrid-DBT: Hardware Accelerated Dynamic Binary Translation. RISC-V 2019 - Workshop Zurich, Jun 2019, Zurich, Switzerland. pp.1. �hal-02155019�

(2)

Hybrid-DBT: Hardware Accelerated Dynamic Binary Translation

Simon Rokicki, Erven Rohou, Steven Derrien

Univ Rennes, Inria, CNRS, IRISA

Context - Heterogeneous Multi-cores

0 50 100 150 200 250 300 350 400 450 500

0 1 2 3 4 5

in-Order (ISA 1)

Out-of-Order (ISA 1)

VLIW (ISA 2)

P o w e r co n su m p ti o n (m W )

Performance (Gops)

Heterogeneous multi-core systems present key advantages compared to their homogeneous counterparts. They allow a dynamic balancing between performance and energy efficiency. Current implementations are limited to a single ISA to easily migrate tasks from one core to the other.

To further improve the current systems, specialized cores such as VLIWs can be added. To handle the ISA differences between the cores, a layer of Dynamic Binary Translation is associated to the specialized cores. DBT translates the instructions from a given ISA to another one as they are being executed on the target core. To lessen the overheads introduced by the use of DBT, we present Hybrid-DBT:

a HW/SW Co-Designed DBT system which uses several hardware accelerators to reduce the costs of DBT [3][4].

High-Perf CPU

Low- Power

CPU

VLIW

Low- Power

CPU

D B T

System

HW/SW Co-Designed Machines

Previous work on HW/SW Co-Designed Machines:

→ Transmeta Crusoe (x86 on VLIW) [1]

→ NVidia Denver (Armv8 on VLIW) [2]

Overview of Hybrid-DBT

L1 Instr.

(RISC-V)

HW Decoder

Indirection Table

DBT Memory Translation

Cache (VLIW ISA) L

2

DBT Processor Hardware

Accelerators

VLIW

→ The VLIW core executes applications

→ The L1 Instr. cache stores RISC-V instructions

→ The HW Decoder decodes RISC-V into VLIW ISA

→ The DBT Processor analyses and optimizes RISC-V binaries, using the HW Accelerators.

→ Those binaries are stored in the Translation Cache.

Translation flow

Instruction Translation

IR Builder IR Scheduler

Inter-block optimization Native

Binaries

RISC-V

001101010

IR

VLIW Binaries

01100001001 100010110

Solving branches

Insertion of profiling instr.

IR

Opt. level 0

(a)

(b)

(c)

No ILP

Opt. level 1

Updates VLIW binaries

Exploit ILP

Opt. level 2

Building CFG

(c)

Data & Control flow graphs Data flow graphs

Information about block boundaries

La rg e e n o u g h E xe cu te d o ft e n

Hardware opt.

Software opt. In-memory data

- Details on the Intermediate Representation (IR)

Experimental Results

0 0,05 0,1 0,15 0,2 0,25 0,3 0,35

0,4 Energy efficiency Hybrid-DBT OoO

0 0,5 1 1,5 2 2,5 3

3,5 Performance In-Order Hybrid-DBT OoO

0x 50x 100x 150x 200x 250x 300x

First-Pass Translation

IR Generation IR Scheduling

Improvement vs. software

Optimization time Optimization energy

References

[1] Dehnert et al. The Transmeta Code Morphing Software:

Using Speculation, Recovery, and Adaptive Retranslation (...) [2] Boogs et al. Denver: NVidia’s First 64-bit ARM Processor

[3] Rokicki et al. Hybrid-DBT: HW/SW DBT targeting VLIW

[4] Rokicki et al. Supporting Runtime Reconfigurable VLIWs Cores through Dynamic Binary Translation

Contacts

simon.rokicki@irisa.fr

https://github.com/srokicki/HybridDBT

Références

Documents relatifs

The recipe procedure, which is the core part of a recipe, can be hierarchically decomposed into recipe unit procedures, recipe operations and recipe phases,

Borrowing the French term droit d’auteur into English and the English copyright into French contracts is a way of both transferring an odd meaning into a text

13 conditional coverage tests are used, namely 7 dynamic binary specifications DB, 6 DQ tests (Engle and Manganelli, 2004) including several lags of the violations variable and VaR,

However, in addition to a “raw” translation from Alloy to Coq, we wanted our tool to provide some support to ease the proof in Coq of the assertions of an Alloy model.. Such a

From the field to the database: a user-oriented approach to promote cyber-curating of scientific continental drilling cores.. AGU American Geophysical Union, Oct 2017, San

This DBT processor is enriched with three hardware accelerators: the first-pass translator ( ¯ ) is in charge of generating a first version of the VLIW code; the IR builder ( ®

We modified the Hybrid-DBT framework to handle different VLIW configurations: the instruction scheduler now generates binaries for various VLIW issue widths with more or

In this paper we presented Hybrid-DBT, an open-source, hardware/software co-designed DBT system targeting VLIW cores. The use of three hardware accelerator drastically re- duces