• Aucun résultat trouvé

and Telecommunications

N/A
N/A
Protected

Academic year: 2022

Partager "and Telecommunications"

Copied!
1089
0
0

Texte intégral

(1)

~TEXAS

INSTRUMENTS

Wireless and

Telecommunications Products

Central Office, Telemetry RF Receivers, and Personal Communications Solutions

1996 Mixed-Signal Products

(2)

~G_e_n_e_r_a_ll_n_fo_r_m __ at_io_n ________________ 1IDI

Telecommunications Circuits

Central Office Codecs iii

Transient Voltage Suppressors lEI

RF for Telemetry and RKE

Wireless Communications Circuits

Processors for Analog Cellular

Voice-Band Audio Processors. III

RF for Personal Communications

Baseband Interface Circuits III

Digital Signal Processors II

Mechanical Data

(3)

Wireless and

Telecommunications Products Data Book

Central Office, Telemetry RF Receivers, and Personal Communications Solutions

~TEXAS

INSTRUMENTS

(4)

IMPORTANT NOTICE

Texas Instruments (TI) reserves the right to make changes to its products or to discontinue any semiconductor product or service without notice, and advises its customers to obtain the latest version of relevant information to verify, before placing orders, that the information being relied on is current.

TI warrants performance of its semiconductor products and related software to the specifications applicable at the time of sale in accordance with TI's standard warranty. Testing and other quality control techniques are utilized to the extent TI deems necessary to support this warranty.

Specific testing of all parameters of each device is not necessarily performed, except those mandated by government requirements.

Certain applications using semiconductor products may involve potential risks of death, personal injury, or severe property or environmental damage ("Critical Applications").

TI SEMICONDUCTOR PRODUCTS ARE NOT DESIGNED, INTENDED, AUTHORIZED, OR WARRANTED TO BE SUITABLE FOR USE IN LIFE-SUPPORT APPLICATIONS, DEVICES OR SYSTEMS OR OTHER CRITICAL APPLICATIONS.

Inclusion of TI products in such applications is understood to be fully at the risk of the customer.

Use of TI products in such applications requires the written approval of an appropriate TI officer.

Questions concerning potential risk applications should be directed to TI through a local SC sales office.

In order to minimize risks associated with the customer's applications, adequate design and operating safeguards should be provided by the customer to minimize inherent or procedural hazards.

TI assumes no liability for applications assistance, customer product design, software performance, or infringement of patents or services described herein. Nor does TI warrant or represent that any license, either express or implied, is granted under any patent right, copyright, mask work right, or other intellectual property right of TI covering or relating to any combination, machine, or process in which such semiconductor products or services might be or are used.

Copyright

©

1996, Texas Instruments Incorporated

Printed in U.S.A. by Custom Printing Company

Owensville, Missouri

(5)

INTRODUCTION

The 1996 Wireless and Telecommunications Products Data Book has been created to showcase our growing line of analog and digital components for telecommunications and wireless applications. Featured in this data book are most of the components found in the 1993 Telecommunications Circuits Data Book, plus many new and exciting wireless communications, telecom, and RF for telemetry and remote keyless entry products introduced since then.

This new data book is more than a collection of data sheets; it is a tool for locating the best wireless and telecommunications components for a successful design effort. It has been structured into two parts, first telecommunications, then wireless, to help you quickly find the devices best suited to your application.

A complete alphanumeric index at the beginning of the data book makes locating data sheets for known part numbers easy, and separate selection guides for telecom and wireless have abbreviated application information and technical data to assist in your selection process. An extensive glossary is provided for referencing, defining, and clarifying terms used by Texas Instruments and the semiconductor industry that may be new, unfamiliar, or confusing.

While this data book offers design and specification data only for wireless and telecommunications products, complete technical data for any TI semiconductor product is available from your nearest TI Field Sales Office, local authorized TI distributor or by writing directly to:

Texas Instruments, Incorporated LITERATURE RESPONSE CENTER Post Office Box 809066

Dallas, TX 75380-9066

or by visiting TI's web site at http://www.tLcom

A complete list of sales offices, distributors, and technology centers is located in the back of this book.

We sincerely believe that the new 1996 Wireless and Telecommunications Circuits Data Book is a valuable and useful addition to your collection of technical literature.

v

(6)

vi

PRODUCT STAGE STATEMENTS

Product stage statements are used on Texas Instruments data sheets to indicate the development stage(s) of the product(s) specified in the data sheets.

If all products specified in a data sheet are at the same development stage, the appropriate statement from the following list is placed in the lower left corner of the first page of the data sheet.

PRODUCTION DATA information is current as of publication date. Products conform to specifications per the terms of Texas Instruments standard warranty. Production processing does not necessarily include testing of all parameters.

ADVANCE INFORMATION concerns new products in the sampling or preproduction phase of development. Characteristic data and other specifications are subject to change without notice.

PRODUCT PREVIEW information concerns products in the formative or design phase of development. Characteristic data and other specifications are design goals. Texas Instruments reserves the right to change or discontinue these products without notice.

If not all products specified in a data sheet are at the PRODUCTION DATA stage, then the first statement below is placed in the lower left corner of the first page of the data sheet. Subsequent pages of the data sheet containing PRODUCT PREVIEW information or ADVANCE INFORMATION are then marked in the lower left-hand corner with the appropriate statement given below:

UNLESS OTHERWISE NOTED this document contains PRODUCTION DATA information current as of publication date. Products conform to specifications per the terms of Texas Instruments standard warranty. Production processing does not necessarily include testing of all parameters.

ADVANCE INFORMATION concerns new products in the sampling or preproduction phase of development. Characteristic data and other specifications are subject to change without notice.

PRODUCT PREVIEW information concerns products in the formative or design phase of development. Characteristic data and other specifications are design goals. Texas Instruments reserves the right to change or discontinue these products without notice.

(7)

General Information Telecommunications Circuits

Central Office Codecs II

Transient Voltage Suppressors lEI

RF for. Telemetry andRKE

Wireless Communications Circuits

Processors for Analog Cellular II

Voice-Band Audio· Processors

RF for Personal Communications

Baseband Interface Circuits ill

Digital. Signal Processors

MechanicalOata

1-1

(8)

-

:::J

- h

o

~

3 Q) r+ --

o :::J

1-2

Contents

Page

Alphanumberic index. . . . • . . . .. 1-3

Telecom Introduction ...• 1-5

Wireless Introduction ...•...•... 1-7

Wireless Selection Guide ... 1-11

Wireless Product Briefs ... 1-25

Glossary ... 1-33

(9)

ALPHANUMERIC INDEX

DEVICE PAGE

MARCSTARTM RF Application Report • • • • • • • • • • • •• 4-29 TCM1030 •••••••••••••••••••••••••••••••• 3-3 TCM1060 •••••••••••••••••••••••••••••••• 3-5 TCM129C13 •••••••••••••••••••••••••••••• 2-3 TCM129C13A ••••••••••••••••••••••••••••• 2-27 TCM129C14 •••••••••••••••••••••••••••••• 2-3 TCM129C14A ••••••••••••••••••••••••••••• 2-27 TCM129C16 •••••••••••••••••••••••••••••• 2-3 TCM129C16A ••••••••••••••••••••••••••••• 2-27 TCM129C17 •••••••••••••••••••••••••••••• 2-3 TCM129C17A ••••••••••••••••••••••••••••• 2-27 TCM129C18 •••••••••••••••••••••••••••••• 2-51 TCM129C19 •••••••••••••••••••••••••••••• 2-51 TCM129C23 •••••••••••••••••••••••••••••• 2-69 TCM29C13 • • • • • • • • • • • • • • • • • • • • • • • • • • • • • •• 2-3 TCM29C13A • • • • • • • • • • • • • • • • • • • • • • • • • • • • •• 2-27 TCM29C14 •• • • • • • • • • • • • • • • • • • • • • • • • • • • • •• 2-3 TCM29C14A • • • • • • • • • • • • • • • • • • • • • • • • • • • • •• 2-27 TCM29C16 • • • • • • • • • • • • • • • • • • • • • • • • • • • • • •• 2-3 TCM29C16A •••••••••••••••••••••••••••••• 2-27 TCM29C17 ••• • • • • • • • • • • • • • • • • • • • • • • • • • • •• 2-3 TCM29C17A •••••••••••••••••••••••••••••• 2-27 TCM29C18 ••••••••••••••••••••••••••••••• 2-51 TCM29C19 ••••••••••••••••••••••••••••••• 2-51 TCM29C23 •• • • • • • • • • • • • • • • • • • • • • • • • • • • • •• 2-69 TCM320AC36 •• • • • • • • • • • • • • • • • • • • • • • • • • • •• 6-3 TCM320AC37 • • • • • • • • • • • • • • • • • • • • • • • • • • • •• 6-3 TCM320AC38 ••••••••••••••••••••••••••••• 6-23 TCM320AC39 ••••••••••••••••••••••••••••• 6-23 TCM320AC54 • • • • • • • • • • • • • • • • • • • • • • • • • • • •• 2-89 TCM320AC56 ••••••••• • • • • • • • • • • • • • • • • • • •• 6-43 TCM320AC57 • • • • • • • • • • • • • • • • • • • • • • • • • • • •• 6-43 TCM37C13 ••••••••••••••••••••••••••••••• 2-105 TCM37C14 ••••••••••••••••••••••••••••••• 2-105 TCM37C15 ••••••••••••••••••••••••••••••• 2-105 TCM38C17 ••••••••••••••••••••••••••••••• 2-125 TCM4300 •••••••••••••••••••••••••••••••• 8-3 TCM4301 •••••••••••••••••••••••••••••••• 8-51 TCM4400 •••••••••••••••••••••••••••••••• 8-113 TCM8002 •••••••••••••••••••••••••••••••• 5-3 TCM8010-37 ••••••••••••••••••••••••••••• 5-25

DEVICE TCM8010-50

PAGE 5-53 TCM8030 •••••••••••••••••••••••••••••••• 5-79 TLV320AC36 •••••••••••••••••••••••••••••• 6-63 TLV320AC37 •••••••••••••••••••••••••••••• 6-63 TLV320AC40 •••••••••••••••••••••••••••••• 6-83 TLV320AC41 •••••••••••••••••••••••••••••• 6-83 TLV320AC56 •••••••••••••••••••••••••••••• 6-103 TLV320AC57 •••••••••••••••••••••••••••••• 6-103 TMS320C209 ••••••••••••••••••••••••••••• 9-3 TMS320C5x •••••••••••••••••••••••••••••• 9-67 TMS320C54x ••••••••••••••••••••••••••••• 9-149 TMS320LC5x ••••••••••••••••••••••••••••• 9-67 TMS320LC54x •••••••••••••••••••••••••••• 9-149 TMS320VC203 •••••••••••••••••••••••••••• 9-3 TMS320VC54x

TP13054A TP130548 TP13057A TP130578 TP13064A TP130648 TP13067A TP130678 TP3054A TP30548 TP3057A TP30578 TP3064A TP30648 TP3067A TP30678 TPS9103 TRF1015 TRF1400 TRF1410 TRF2040 TRF2050 TRF3020 TRF7000 TRF8010

9-149 2-145 2-161 2-145 2-161 2-177 2-197 2-177 2-197 2-145 2-161 2-145 2-161 2-177 2-197 2-177 2-197 7-65 7-3 4-3 4-21 7-13 7-25 7-37 7-47 7-57

NOTES: 1. ThefoIJowing devices have been deleted from this volume: TCM15018, TCM15068, TCM15128, TCM1520A, TCM1531, TCM1532, TCM1536, TCM1539, TCM5087, TCM5087, TCM5089, TCM5092, TCM5094, TLC2470ITLC2471 ITLC2472ITLC2047 2. TheTCM3637now appears in the Remote Keyless Entry Data 800k.

MARCSTAR is a trademark of Texas Instruments Incorporated.

~TEXAS

INSTRUMENTS

POST OFFICE BOX 655303 • DALLAS, TEXAS 75265 1-3

(10)

1-4

(11)

TELECOM INTRODUCTION

Introduction

Texas Instruments has been a world leader in central office codec technology since 1979. The combo section of this data book includes our established product line of codecs with on-chip filtering, primarily used in central office (line card) applications that perform the AID and D/A conversion and filtering required for voice communications. The TP30xx series and TCM29Cxx series of combos provide the industry's best noise levels using Tl's advanced switched-capacitor filter technologies. The TCM1 Oxx family of devices compliments our line of codecs by providing protection from voltage transients for the codecs as well as the line cards.

Smaller geometry process technology and sigma-delta conversion technologies are combined this year in our first Advanced Combo™ product offering, the highly integrated QCombo™, TCombo™, and Combo III. The QCombo and TCombo are single-rail 4-channel (quad) and 2-channel (twin) combos-on-a-chip. The Combo III features TCM29C13 functionality with the addition of programmable gain. Texas Instruments is rapidly expanding it's Advanced Combo product family - see our road maps for additional information.

For the past 13 years, Texas Instruments has manufactured an advanced line of fixed-code RKE (remote keyless entry) products. This year, Texas Instruments is proud to introduce the MARCSTARTM (Multi-Channel Advanced Remote-Control Signaling Transmitter And Receiver) family of RKE devices. These devices are advanced ASKIFSK RF receivers and mixed-signal rolling-code encoder/decoders utilizing new and innovative features that are industry first. The MARCSTAR RF section featured in this data book represents a portion of that family that provides turn-key receiver solutions on-a-chip. While primarily targeted at the RKE, GOO (garage door opener), and home security markets, the TRF140xx device family is also well suited to other low-power remote control and general telemetry applications. The MARCSTAR RF devices require a minimum of external components, significantly reducing circuit complexity and footprint compared to the current discrete receiver solutions. Using an RF architecture that is an RKE-industry first, MARCSTAR RF receivers feature no spurious emissions and infinite image rejection.

The MARCSTAR RFfamily maintains good sensitivity and out-of-band rejection with no manual alignment when used with external SAW filters. For a reduced-cost solution, the device is also compatible with external UC components. MARCSTAR RF also includes several on-chip features that would normally require additional circuitry in a receiver system design. These include an RF amplifier/comparator for detection and shaping of input signals and decoding logic that provides specially formatted TTL data output, synchronized with a trigger output, for easy interface to any microcontroller when using Manchester-encoded data. The device also outputs raw demodulated AM at TTL levels using any ASK data for interface to self-synchronizing devices such as the TI rolling-code MARCSTAR encoder/decoders (covered in the TI RKE data book).

Texas Instruments is also rapidly expanding its MARCSTAR product family - see our roadmaps in this data book, as well as our separate RKE data book, for further information on the full MARCSTAR RF and encoder/decoder device families.

MARCSTAR, Advanced Combo, QCombo, and TCombo are trademarks of Texas Instruments Incorporated.

~TEXAS

INSTRUMENTS

POST OFFICE BOX 655303 • DALLAS, TEXAS 75265 1-5

(12)

1-6

(13)

"U

o ~

O~~

~

2 _.

m(J)

~~d §Cl'T1

!~~

>

l'T1..,1

~~

~2 >

rJl

~

~

current Tiline-card codec product offering

TCMxxCxx Intel Timing For Central Office Equipment Use

Reduced Noise

Direct Intel Replacement (by 50% using a patented TI process) Programmable Gain

Both Il-Law and Both Il-Law and Reduced Noise

A-Law A-Law

8th Bit Stand- 8th Bit Stand- Il-Law

Il-Law A-Law

Signal ard Il-Law A-Law

Signal ard Il-Law A-Law and A-Law

1.536 1.536 1.536 1.536 1.536

2.048 2.048 1.544 1.544 2.048 2.048 1.544 1.544 2.048 2.048 1.544

MHz MHz 2.048 2.048 MHz MHz 2.048 2.048 MHz MHz 2.048

MHz MHz MHz MHz MHz

TCM TCM TCM TCM TCM TCM TCM TCM TCM TCM TCM

29C16 29C17 29C14 29C13 29C16A 29C17A 29C14A 29C13A 37C13 37C15 37C14

PAGE NO. 2-3 PAGE NO. 2-27 PAGE NO. 2-105

LIT. NO. SCTS011 G LIT. NO. SCTS030D LIT. NO. SLWS018

Quad channel QCOMBO

Il-Law and A-Law Single Voltage Supply ( +5V)

2.048 MHz

TCM38C17

PAGE NO. 2-125 LIT. NO.

SLWS040

Interface For DSP

Extended Low Cost Frequency

Il-Law and Il-Law Il-Law A-Law

2.048 1.536 upto 4.096

MHz MHz MHz

TCM TCM TCM

29C18 29C19 29C23

PAGE NO.

PAGE NO.2-51 2~9 LIT. NO.

LIT. NO. SCTS021 C SCTS029A

I

I

I

.-

~

m r- m o o s:

CJ)

m m r-

o

~

o z

G)

5: c

m

(14)

TELECOM SELECTION GUIDE

current TIline-card codec product offering (continued)

TP30xx National Timing For Central Office EquIpment Use

Reduced NoIse

DIrect NatIonal Replacement (by 50% usIng a patented TI process) DIfferentIal Output SIngle Ended Output SIngle Ended Output Differential Output

J.1-Law A-Law J.1-Law A-Law J.1-Law A-Law J.1-Law A-Law

1.536 1.536 1.536 1.536 1.536 1.536 1.536 1.536

1.544 1.544 1.544 1.544 1.544 1.544 1.544 1.544

2.048 2.048 2.048 2.048 2.048 2.048 2.048 2.048

MHz MHz MHz MHz MHz MHz MHz MHz

TP3064A TP3067B TP3054A TP3057B TP3054B TP3057A TP3064B TP3067A PAGE NO. 2-161

LIT. NO. SCTS042A PAGE NO. 2-145 LIT. NO. SCTS026C

PAGE NO. 2-197 LIT. NO. SCTS031D

PAGE NO. 2-177 LIT. NO. SCTS025C

transient voltage suppressors

DESCRIPTION FUNCTION TECHNOLOGY SUPPLY

PRODUCT FEATURES VOLTAGE

Dual transient

Firing voltage: -70 V, Max peak surge -5Vto current: 35 A

Line card suppressor voltage Bipolar

-65V Firing voltage: -70 V, Max peak surge suppressor

current: 50 A

remote keyless entry and general telemetry receivers

DESCRIPTION FUNCTION

Remote VHF/UHF ASK keyless RZ receiver Entry/General

Telemetry

Remote VHF/UHF ASK keyless RZ receiver Entry/General

Telemetry

1-8

TECHNOLOGY

Submicron BiCMOS

Submicron BiCMOS

SUPPLY

PRODUCT FEATURES VOLTAGE

200 Hz to 450 MHz high receiver sensitivity, 500 Hz to 20 KHz data rate,

Internal amplifier comparator, 5V No emissions,

Infinite image rejection, No manual alignment, Internal Manchester decoder

200 Hz to 450 MHz high receiver sensitivity, 500 Hz to 20 KHz data rate,

5V Internal amplifier comparator, No emissions,

Infinite image rejection, No manual alignment,

~TEXAS

INSTRUMENTS

POST OFFICE BOX 655303 • DALLAS. TEXAS 75265

Interface For DTAD/DSP SIngle Ended

J.1-Law 1.536 1.544 2.048 MHz TCM320AC54

PAGE NO.

6~3 LIT. NO.

SCTS029A

DEVICE PAGE

TCM1030 3~

TCM1060 3~

DEVICE PAGE

TRF1400 4-3

TRF1410 4-21

(15)

~

'x

CI)

C. E

() o

1992 1994 1996

ADVANCED COMBO ROADMAP

• TEXAS INSTRUMENTS

POST OFFICE BOX 655303 • DALLAS. TEXAS 75265

TELECOM SELECTION GUIDE

1998 2000

1-9

(16)

TELECOM SELECTION GUIDE

~ .~

D.. E u o

1995

1-10

1996 1997 1998

MSP RF Thrust

~TEXAS

INSTRUMENTS

POST OFFICE BOX 655303 • DALLAS, TEXAS 75265

1999 2000

(17)

WIRELESS INTRODUCTION

Introduction

Texas Instruments portfolio of digital signal processor (DSP) solutions addresses the key technologies required to achieve success in today's fast-moving wireless communications market. To help OEMs gain a competitive edge, TI provides system solutions including components, software modules, development tools, demonstration platforms and global development support. Our customizable DSP (cDSP) capability can create highly-integrated, low-power, custom solutions fine-tuned for specific needs.

With worldwide manufacturing capacity and expertise, including high-yielding processes and tight parametric control, Texas Instruments can supply wireless communications OEMs with the large volumes they need to meet their production requirements. We continue to invest in manufacturing capacity to keep pace with our customers' growing needs.

The devices in this databook support the world's most widely adopted standards in analog cellular, digital and dual-mode cellular, personal communications services (PCS), digital cordless telephones, paging, wireless local loop systems, wireless data, and more. The product families addressed in this databook include:

• Baseband Processors for Analog Cellular Handsets

• Voice-Band Audio Processors (VBAPTM)

• Radio Frequency (RF) Products For Personal Communcations

• Baseband Interface Circuits

• ASICs

• DSPs

• Microcontrollers

• System Solutions

• Data Converters

• Operational Amplifiers

• Low Drop-Out Regulators

• Power Management Products

The alphanumeric index in this data book provides a means of quickly locating the device type. The selection guide includes a brief description of each device and references to additional literature items about that product family. The glossary describes the symbols, terms, and definitions used in this data book.

Additional literature is available from your nearest Texas Instruments Field Sales Office, local authorized TI distributor, or by writing directly to:

Texas Instruments Incorporated LITERATURE RESPONSE CENTER P.O. BOX 809066

Dallas, TX 75380-9066

A list of TI sales offices, distributors, and technology centers is located in the back of this book.

In addition, the latest information on wireless communications products is available on the Internet. Visit the TI Wireless Communications home page at http://www.ti.com/sc/docs/wireless/home.htm. or access the full range of Tl's Semiconductor products using the TI home page at http://www.tLcom.

Thank you for your interest in wireless personal communications products from Texas Instruments.

VBAP is a trademark of Texas Instruments Incoporated .

• TEXAS INSTRUMENTS

POST OFFICE BOX 655303 • DALLAS, TEXAS 75265 1-11

(18)

1-12

(19)

WIRELESS SELECTION GUIDE

selection guide

Cellular Telephone Handset Antenna

RFIIF Subsystem

Digital Cellular Baseband Subsystem

Microphone

Battery

I

TPSXXX Low Drop-Out Regulators Power Management Subsystem

baseband processors for analog cellular handsets

VOICE PROCESSOR PAGE DATA PROCESSOR SUPPLY PAGE VOLTAGE

TCM8002 5-3 TCM801D-50 5V 5-53

TCM801D-37 3.7V 5-25

Voice and Data Processor

TCM8030t 2.7-5.5 V 5-59

t Product Preview

NOTE 1: TCM8000 is obsolete - replace with TCM8010-50 or TCM8010-37 .

• TEXAS INSTRUMENTS

POST OFFICE BOX 655303 • DALLAS, TEXAS 75265

Data Converters TLCfTLVXXXX

Signal Conditioning Operational Amplifiers

TLCfTLV22XX

Power Supplies and Switches TPSXXXX

1-13

(20)

WIRELESS SELECTION GUIDE

VBApTM voice-band audio processor (voice codecs for wireless personal communications)

13-BIT LINEAR 13-BIT LINEAR PRIMARY APPLICATION MODE AND MODE AND

Il-LAW A-LAW

IS-19, IS-54/136 and digital cordless TLV320AC36 TLV320AC37 IS-19, IS-54/136 and digital cordless, noise TLV320AC56t TLV320AC57t cancellation disabled

DECT TLV320AC40t TLV320AC41t

IS-19, IS-54/136 and digital cordless, noise TCM320AC56t TCM320AC57t cancellation disabled

Reduced spec 'AC36 TCM320AC46:t: N/A

GSM TCM320AC38 TCM320AC39

IS-19, IS-54/136 and digital cordless TCM320AC36 TCM320AC37 t Product Preview data

:t: TCM320AC46 is obsolete - replace with TCM320AC36.

additional VBAP literature

Voice-Band Audio Processors Application Report

radio frequency products for wireless personal communications

PRIMARY APPLICATION FUNCTION DEVICE

900 MHz: Analog, Digital, Dual Mode Cellular;

Digital Cordless Receiver front end TRF1015t

900 MHz-1.9 GHz: Analog, Digital, Dual Mode Cellular; PCS

Fractional-N 1 Integer-N

Synthesizer TRF2040t

1.1 GHz: 18-54/IS-136 Fractional-N Synthesizer 1 Integer-N TRF2050t 900 MHz: Analog, Digital, Dual Mode Cellular;

PCS I/Q and FM Modulator TRF3020t

900 MHz-1.9 GHz: Analog, Digital, Dual Mode GaAs MESFET Output TRF7000t

Cellular; PCS Stage

900 MHz: Analog, Digital, Dual Mode Cellular Driver Amplifier TRF8010t Analog, Digital, Dual Mode Cellular; PCS Power Supply for GaAs Power Amplifiers TPS9103t t Product Preview data

baseband interface circuits for wireless personal communications

PRIMARY

VOICE CODEC PAGE RFCODEC PAGE APPLICATION

IS-54B TCM320AC36 or TLV320AC36 6-63 6-3 TCM4300t 8-3 IS-136 TCM320AC36 or TLV320AC36 6-63 6-3 TCM4301t 8-51

GSM TCM4400t 8-113

t Product Preview data

VBAP is a trademark of Texas Instruments Incorporated.

1-14

~TEXAS

INSTRUMENTS

POST OFFICE BOX 655303 • DALLAS. TEXAS 75265

MASTER

CLK SUPPLY (MHZ)

2.048 3V

2.048 3V

1.152 3V

2.048 5V

2.048 5V

2.600 5V

2.048 5V

SUPPLY VOLTAGE 3.5-5.5 V 2.7-3.6 V 2.7-5.1 V 3.6-3.9 V 3.6VAMPS

4.8 V GSMIIS-54/IS-136 3.6 VAMPS

4.8 V GSM/IS-54/IS-136 2.7-5.5 V

PAGE 6-63 6-103 6-83 6-103

-

6-23 6-3

PAGE 7-3 7-13 7-25 7-37 7-47 7-57 7-65

(21)

digital signal processors

DSP standard device family roadmap

en

a.

9

u.

~

a.

~

C1I U I:

I'CI

E

o

't: C1I

a.

general DSP literature

TMS320 TMS320 TMS320 TMS320 TMS320 TMS320

Generation

DSP Solutions Selection Guide DSP Production Overview (Flipbook) DSP Brochure

Revised Software Co-op Data Sheet Package Development Support Brochure

Development Support Reference Guide Third Party Support Guide

• TEXAS INSTRUMENTS

POST OFFICE BOX 655303 • DALLAS, TEXAS 75265

WIRELESS SELECTION GUIDE

Multiprocessor

32-bit Floating Point

16-bit Fixed Point

LIT. NO.

SSDVOO4 SPRZ094C SPRB113 SPRT111B SPRT096B SPRU011D SPRU052C

1-15

(22)

WIRELESS SELECTION GUIDE

TMS320C2xx family

I

TMS320C203/209 Data Sheet

TMS320C2xx product specification guide DEVICE

TMS320C203-80 TMS320C204-80 TMS320C205-80 TMS320C209-57 TMS320F206-80 TMS320F207 -80

RAM 544 544 4.5 4.5 4.5 4.5

(Words)

FLASH SER INSTRUCTION

ROM CYCLE (ns)

Boot 2 25

4K 2 35

Boot 2 25

4K 35

4K 32K 2 25

4K 32K 3 25

NOTE 1: All devices: data/program space = 64kB/64kB, DMA = external, timers = 1, parallel port = 64K x 16.

TMS320C2xx additional literature

'C2XX User's Guide

'C2XX Product Bulletin

1-16

~TEXAS

INSTRUMENTS

POST OFFICE BOX 655303 • DALLAS, TEXAS 75265

PAGE 9-3

MIPS PACKAGING

40 100TQFP

40 100TQFP

40 100TQFP

28.5 80TQFP

40 100TQFP

40 144 TQFP

LIT. NO.

SPRU127A SPRT122A

(23)

TMS320C5x family

I

TMS320C5x Data Sheet

TMS320C5x product specification guide

DEVICE TMS320C50-57 t:t TMS320C50-80 § TMS320C51-57

t:t:

TMS320C51-100

:t:

TMS320C52-57 t:t

TMS320C52-80

TMS320C52-100

:t:

TMS320C53-80 TMS320C53S57 t:t TMS320C53S80 TMS320LBC56-80 TMS320LBC57 -80 TMS320BC57S-80

t

Extended temperature version available

:t:

3.3 V version available

§ Buffered serial port

RAM (Words) ROM 10K Boot 10K Boot

2K 8K

2K 8K

1K 4K

1K 4K

1K 4K

4K 16K

4K 16K

4K 16K

7K 32K

7K 32K

7K Boot

WIRELESS SELECTION GUIDE

PAGE 9-67

SER COM INSTRUCTION CYCLE (ns) MIPS PACKAGING

2 35 28.57 132 PQFP

2 25 40 132 PQFP

2 35 28.57 132 PQFP

100TQFP

2 20 50 132 PQFP

100TQFP 100 PQFP

1

-

35 28.57 100TQFP

100 PQFP

1 25 40 100 TQFP

100 PQFP

1 20 50 100 TQFP

2 25 40 100 PQFP

2 35 28.57 100TQFP

2 25 40 100 TQFP

-

25 40 100TQFP

HPI 25 40 128 TQFP

HPI 25 40 144 TQFP

NOTE 1: All devices: boot loader available, data/program space

=

64kB/16kB, DMA

=

external, timers

=

1, parallel port

=

64K x 16.

TMS320C5x additional literature

TMS320C5x TMS320C5x 'C5x 'C5x 'C5x 'C5x

User's Guide

Fixed-Point DSP Production Bulletin Power Dissipation Application Report DSP Seminar Workbook

Telecommunications Appliations With 'C5x On-chip Oscillator With External Resonator

~TEXAS

INSTRUMENTS

POST OFFICE BOX 655303 • DALLAS, TEXAS 75265

LIT. NO.

SPRU056B SPRT119A SPRA030 SPRW017 SPRA033 SPRA054

1-17

(24)

WIRELESS SELECTION GUIDE

TMS320C54x family

I

TMS320C54xt t Advance Information data

Data Sheet

TMS320C54x product specification guide

Device TMS320C541 #-40 TMS320C542#-40 TMS320LC541 #-50 TMS320LC542#-50 TMS320LC543#-50 TMS320LC545#-66 TMS320LC546#-66 TMS320LC548#-66 TMS320VC541 #-50 TMS320VC542#-50 TMS320VC543#-50 TMS320VC545#-50 TMS320VC546#-50 TMS320VC548#-50

t Buffered serial port (C548 has 2)

:t: 1 TOM serial port

OAT/PRO SER

64K164K 2

64K164K 2t:J:

64K164K 2

64K164K 2t:J:

64 Kl64 K 2t:J:

64K164K 2t

64K164K 2t

4M/64K 3t:!:

64K164K 2

64K164K 2t:J:

64 Kl64 K 2tt 64K164K 2t

64K164K 2t

4M/64K 3tt

PAGE 9-67

COM INSTRUCTION CYCLE (ns) MIPS PACKAGING

25 40 100 TOFP

HPI 25 40 128,144 TOFP

20 50 100 TOFP

HPI 20 50 128, 144 TOFP

-

20 50 100 TOFP

HPI 15 66 128 TOFP

-

15 66 100 TOFP

HPI 15 66 144 TOFP

20 50 100 TOFP

HPI 20 50 128, 144 TOFP

20 50 100 TOFP

HPI 20 50 128 TOFP

-

20 50 100 TOFP

HPI 20 50 144 TOFP

NOTES: 2. All devices: bootloader avaliable, DMA =external, timers = 1, parellel port = 64K x 16, OAT/PRO = data/program space, # = 1 for PLL option 1 or # = 2 PLL for option 2 (see User's Guide for details), LC = 3.3 V, VC = 3 V part

TMS320C54x additional literature

TMS320C54x TMS320C54x 'C54x 'C54x 'C54x

1-18

Product Bulletin User's Guide

Serial Ports User's Guide Addendum Source Debugger User's Guide Assembly Language Tools User's Guide

~TEXAS

INSTRUMENTS

POST OFFICE BOX 655303 • DALLAS, TEXAS 75265

LIT. NO.

SPRT121A SPRU131 SPRU156 SPRU099A SPRU102A

(25)

WIRELESS SELECTION GUIDE

custom digital baseband solutions

To allow for higher integration levels and to further reduce chip count, power dissipation, and system cost, TI offers the capability to create a custom device around DSP and microcontroller cores. TI's customizable DSP (cDSP) and customizable microcontroller (c470) technologies allow the single-chip integration of the DSP cores with the TMS470 microcontroller core, additional memory, peripherals, logic gates, and analog modules in the ASIC backplane.

ASIC standard cell - family roadmap

~ ·iii c

CI) Q

1993 1994 1995 1996 1997 1998 1999

Currently, TI is developing the TSC4000 and TSC5000 standard cell ASIC product familes that are optimized for ultra-low power and highly integrated applications. Designers in the wireless market can take full advantage of the low power consumption for extended battery life, high density capability to integrate complex functions, high performance for multiple applications, and leading design tools capabilities that reduce overall design cycle-time.

additional ASIC literature:

DEVICE STANDARD CELL LITERATURE TSC20005-V Product Information

TSC30003.3-V Product Information TSC2000LV 3.3-V Product Information

additional microcontroller literature:

DEVICE TMS470R1X-

MCU LITERATURE User's Guide

~TEXAS

INSTRUMENTS

POST OFFICE BOX 655303 • DALLAS, TEXAS 75265

LIT. NO.

SRSTOO1 SRSTOO2 SRSTOO3

1-19

(26)

WIRELESS SELECTION GUIDE

TSC2000

5-V O.55-f.lm CMOS Standard Cell Product Information

Overview

5-V, O.55-llm Leff, Triple-Level Metal (TLM) Process

Core Cell Library Optimized for Synthesis and Low Power

Digital Signal Processor Cores

Clock Tree Synthesis

Support for SynopsysTM DesignPower

Batch and Graphics Mode Floorplanner

Third-Party CAD Signoff

Datapath Function Generation with Interface to Synopsys Through DesignWare™

Memory Compilers Including Single-Port, Two-Port, Dual-Port, and ROM

TTL, CMOS, SCSI-20, ATA-2, Ultra-Low- Power and, Ultra-Low-Noise 1I0s

OFP and TOFP Packaging

1-IlW/MHzlGate Typical Power Dissipation

Typical Gate Delays of 165 ps (2-lnput NAND, FO = 2)

Features and Benefits

FEATURES Robust support for leading CAD tools Synthesis-optimized cell library

Tight coupling between synthesis, floorplanning, and layout Support for Synopsys Design Power

Ultra low noise I/Os Ultra low power 1/05 Low power cells Clock tree synthesis Datapath synthesis Analog cells

SCSI Fast-20, ATA-2 I/Os

Routing channels:

Not always necessary between cell rows and can be variable width.

Standard cell rows:

All cells are the same height but variable width.

I/O slot

BENEFITS Third-party CAD signoff

Bond pad

High-density synthesis results and lower power Shorter design cycle time

Accurate power analysis

D

D D D D

D D

D D

Reduced power pin requirements and lower system noise Low power system solutions

Low power system solutions

Insertion delay and skew management. Low power clock distribution. Support for high number of clocks.

Efficient implementation of datapath logic System integration

Direct interface to SCSI/ATA-buses

~TEXAS

INSTRUMENTS

1-20 POST OFFICE BOX 655303 • DALLAS, TEXAS 75265

(27)

WIRELESS SELECTION GUIDE

TSC2000LV 3.3-V O.55-fJ,m CMOS Standard Cell Product Information

Overview

3.3-V, O.55-llm Lett, Triple-Level Metal (TLM) Routing channels:

Process

Core Cell Library Optimized for Synthesis and Low Power

Analog Functi~ns

Clock-Tree Synthesis

Support for SynopsysTM DesignPower™

Batch and Graphics Mode Floorplanner

Third-Party CAD Signoff

Datapath Function Generation with Interface to Synopsys Through DesignWare™

Memory Compilers Including Single-Port, Two-Port, Dual-Port, and ROM

TTL, LVCMOS, Ultra-Low-Power, and Ultra-Low-Noise II0s

QFP and TQFP Packaging

O.42-IlW/MHzlGate Typical Power Dissipation

Typical Gate Delays of 210 ps (2-lnput NAND, FO =2)

Features and Benefits

FEATURES Robust support for leading CAD tools

Tight coupling between synthesis, floorplanning, and layout Support for Synopsys DesignPower

Ultra-low-noise liDs Ultra-low-power liDs Low-power cells Clock tree synthesis Datapath synthesis Analog cells Level-shifting liDs Synthesis-optimized library

Not always necessary between cell rows and can be variable width.

Standard cell rows:

All cells are the same height but variable width.

110 slot

BENEFITS Third-party CAD signoff

Shorter design cycle time Accurate power analysis

Bond pad o o

o o

Reduced power pin requirements and lower system noise Low-power system solutions

Low-power system solutions

Insertion delay and skew management. Low-power clock distribution. Support for high number of clocks.

Efficient implementation of datapath logic System integration

Interface from 3-V core to 5-V signaling environment High-density synthesis results and lower power

• TEXAS INSTRUMENTS

POST OFFICE BOX 655303 • DALLAS. TEXAS 75265 1-21

(28)

WIRELESS SELECTION GUIDE

TSC3000

3.3-V O.44-fJ,m CMOS Standard Cell Product Information

Overview

3.3-V, 0.44-llm Leff, Triple-Level Metal (TLM) Process

Core Cell Library Optimized for Synthesis and Low Power

Digital Signal Processor and Microcontroller Cores

Clock-Tree Synthesis

Support for SynopsysTM DesignPower™

Batch and Graphics Mode Floorplanner

Third-Party CAD Signoff

Datapath Function Generation with Interface to Synopsys Through DesignWare™

Memory Compilers Including Single-Port, Two-Port, and Dual-Port

TTL, LVCMOS, 5-V-Tolerant, Ultra-Low- Power, Ultra-Low-Noise I/Os

OFP and TOFP Packaging

0.33-IlW/MHzlGate Typical Power Dissipation

Typical Gate Delays of 120 ps (2-lnput NAND, FO = 2)

o Tight MaxiMin Performance Ratio (2:1 Commercial Conditions)

Features and Benefits FEATURES Robust support for leading CAD tools

Tight coupling between syntheSis, floorplanning, and layout Support for Synopsys Design Power

Ultra-low-noise I/Os Ultra-low-power I/Os Low-power cells Clock-tree synthesis Datapath synthesis 5-volt-tolerant cells

Standard cell rows:

All cells are the same height but variable width.

I/O slot

Third-party CAD signoff Shorter design cycle time Accurate power analysis

Routing channels:

Not always necessary between cell rows and can be variable width.

Bond pad

BENEFITS

c c

c c c c c c

Reduced power pin requirements and lower system noise Low-power system solutions

Low-power system solutions

Insertion delay and skew management. Low-power clock Support for high number of clocks.

Efficient implementation of datapath logic Interface to external 5-V logic

distribution.

Tight min/max performance window due to advanced

Eases chip and system-level timing issues due to delay spread manufacturing capability

1-22

• TEXAS INSTRUMENTS

POST OFFICE BOX 655303 • DALLAS. TEXAS 75265

(29)

cg

~

O~~ ~ 2:

s . mt/)

~~d

~em

~~~

~m(l)

~~

~2: » en

~

~

w

operational amplifiers

VIO aVIO ICC liB CMRR Vn (1 kHz)

DEVICE mV ~V/oC mA nA dB nV/-#tZ

(max) (typ) (max) (typ) (typ) (typ)

TLC2252A 0.85 0.5 0.063 0.001 83 19

TLC2254A 0.85 0.5 0.063 0.001 83 19

TLV2252A 0.85 0.5 0.063 0.001 83 19

TLV2254A 0.85 0.5 0.063 0.001 77 19

TLC2262 2.5 2 0.25 0.001 80 12

TLC2262A 0.95 2 0.25 0.001 80 12

TLC2264 2.5 2 0.25 0.001 80 12

TLC2264A 0.95 2 0.25 0.001 80 12

TLC2272 2.5 2 3 0.001 75 9

TLC2272A 0.95 2 3 0.001 75 9

TLC2274 2.5 2 3 0.001 75 9

TLC2274A 0.95 2 3 0.001 75 9

TLV2262 2.5 2 0.25 0.001 80 12

TLV2262A 0.95 2 0.25 0.001 80 12

TLV2264 2.5 2 0.25 0.001 80 12

TLV2264A 0.95 2 0.25 0.001 80 12

t C

=

O°C to 70°C, I

=

-40°C to 85°C, M

=

-55°C to 125°C

fixed output voltage series pass regulators

Vo 10 TOL Iq VDO Vlmax

DEVICE (mA) (V)

(V) NOM

MAX ('Yo) (mA)TYP TYP-MAX (V)

POSITIVE OUTPUT VOLTAGE

TPS7233 250 2 1551lA 0.14-0.18 10

TPS7333 3.3 2 340llA 0.044-0.06 10

500

TPS7133 2 2851lA 0.047 - 0.060 10

TPS7248 250 2 1551lA 0.09-0.1 10

TPS7348 4.85 2 340llA 0.028 - 0.037 10

500

TPS7148 2 2851lA 0.03-0.037 10

TPS7250 250 2 1551lA 0.76 - 0.85 10

TPS7350 5 2 340llA 0.27 -0.035 10

TPS7150 500 2 2851lA 0.27 -0.033 10

t Supply-voltage supervisor

SR GBW TEMPt V/~s MHz

RANGE (typ) (typ)

0.12 0.2 I,M 0.12 0.2 I,M 0.12 0.2 I,M 0.12 0.2 I,M 0.55 0.82 C,I 0.55 0.82 C,I 0.55 0.82 CI 0.55 0.82

3.6 2.18 C, M 3.6 2.18 C, M 3.6 2.18 C, M 3.6 2.18 C, M 0.55 0.82 0.55 0.82 0.55 0.82 0.55 0.82

SHUT LDO DOWN svst

X X

X X X

X X

X X

X X X

X X

X X

X X X

X X

DESCRIPTION Dual,low power, rail-to-rail output,low noise Quad, low power, rail-to-rail output, low noise Dual, low voltage, rail-to-rail output, low noise Quad, low voltage, rail-to-rail output, low noise Dual, low power, rail-to-rail output, low noise Dual, precision, low power, rail-to-rail output Quad, low power, rail-to-rail output, low noise Quad, low power, rail-to-rail output, low noise Dual, rail-to-rail output

Dual, rail-to-rail output, precision Quad, rail-to-rail output Quad, rail-to-rail output, precision

Dual, precision, low voltage, low power, rail to rail Dual, precision, low voltage, low power, rail to rail Quad, precision, low voltage, low power, rail to rail Quad, precision, low voltage, low power, rail to rail

TA DESCRIPTION

-40°C to 125°C Very low dropout PMOS -40°C to 125°C Lowest dropout PMOS with svst

-40°C to 125°C Lowest droput PMOS -40°C to 125°C Very low dropout PMOS -40°C to 125°C Lowest dropout PMOS with svst -40°C to 125°C Lowest dropout PMOS -40°C to 125°C Very low dropout PMOS -40°C to 125°C Lowest dropout PMOS with svst -40°C to 125°C Lowest dropout PMOS

j

I

:E

5j

m r- m en en en m r- m o

o

--I Z C)

c:

6 m

Références

Documents relatifs

Charged device model: all pins and the package are charged together to the specified voltage and then discharged directly to the ground through only one pin...

Standard output streams default behavior in terminal sessions..

The proposed memristor-based operational amplifier (OpAmp) uses RRAM cells as analog programmable devices by exploiting all the resistance states of the memory

This section provides a set of numerical examples in which particular scenarios are highlighted to show that channel- output feedback can be strongly beneficial for enlarging

This procedure allows the calculation of multiplier effects induced by changes in final demand for all goods both in quantities and in prices, a distinct and

With the on-chip measurement, several important internal inaccessible signals could be observed, like the current flowing in a differential pair of an operational

TACS consists of a selection, depending on the channel feedback, of best antennas and MIMO WiMAX code (MA, MB, MC) to be used for transmission. A similarity between [27] and our

Due to the differential output, the Class-D amplifier is modeled by two AC voltage sources and an impedance matrix to model the converter output impedance as shown in Fig.. However,