• Aucun résultat trouvé

Véhicule de test dédié à la haute densité

3.3. Implémentation sur démonstrateurs technologiques

3.3.2. Véhicule de test dédié à la haute densité

En parallèle à la conception du démonstrateur moyenne densité est mis en œuvre un véhicule de test spécialement dédié à l’étude de la haute densité. Pour resituer la problématique de la 3D haute densité comme exposée au chapitre 1 (§ 1.2.3.3), l’objectif est d’atteindre une certaine densité d’interconnexions 3D (typiquement supérieure à 10 000 TSV/mm2) pour être capable de connecter des blocs fonctionnels situés sur deux niveaux distincts, tout en présentant des caractéristiques électriques meilleures que les lignes d’interconnexions BEOL globales (notamment en terme de délai de propagation).

Le cas d’étude exposé ici n’est pas un circuit 3D au sens où les références suivantes l’entendent [Morrow06, Olmen08, Koester08], c'est-à-dire une intégration 3D comportant deux circuits actifs empilés. Ici, seul le niveau supérieur est actif, c'est-à-dire contenant les parties logiques, le niveau inférieur étant laissé passif et servant principalement en tant que support physique pour le collage face-à-face de la puce supérieure. L’objectif de ce véhicule de test est d’étudier les phénomènes décrits au chapitre 2. En partant de ce postulat architectural, la méthodologie de conception développée pour l’intégration 3D moyenne densité peut également être appliquée à ce cas précis.

L’architecture d’intégration 3D choisie est similaire au démonstrateur moyenne densité, i.e. puce sur plaque. Les TSV présentent une forme octogonale, un diamètre moyen de 4 µm pour une profondeur d’environ 15 µm, et connectent le niveau de RDL en face arrière au niveau de métal 1 de la puce supérieure. La Figure 3.16 illustre le principe schématique du démonstrateur.

RDL M1 Si aminci @ ~15µm Oxyde de remplissage inter-puce M2 M6 Interface de collage moléculaire Puce supérieure PUCE ACTIVE @ ST

PLAQUE PASSIVE @ LETI

Puce inférieure Ø TSV = 4µm RDL M1 Si aminci @ ~15µm Oxyde de remplissage inter-puce M2 M6 Interface de collage moléculaire Puce supérieure PUCE ACTIVE @ ST

PLAQUE PASSIVE @ LETI

Puce inférieure

Ø TSV = 4µm

Figure 3.16. Vue schématique en coupe du démonstrateur technologique 3D haute densité.

Enfin, la Figure 3.17 correspond à la vue masque de la puce active avec les dimensions extérieures indiquées en périphérie. La superficie globale du circuit représente 27 mm2. On remarquera l’emplacement des six grandes familles de structures de test (fiabilité, caractérisation RF, caractérisation TSV, couplage substrat, couplage composant et couplage circuit) dont les surfaces occupées sont réparties comme suit :

- Caractérisation électrique du TSV (résistance, capacité, courant de fuite) répartie sur 11 % de la surface globale.

- Caractérisation du couplage électrique par le substrat (intensité du couplage, impact sur les transistors) répartie sur 11 % de la surface globale. - Couplage composant (évaluation de l’impact du couplage par le substrat sur les

composants unitaires - N et P) réparti sur 15 %.

- Couplage circuit (évaluation de l’impact du couplage par le substrat sur l’oscillateur en anneau) réparti sur 11 %.

- Caractérisation RF (effet de peau, validation du modèle électrique du TSV, comportement en RF, etc.) répartie sur 16 %.

- Fiabilité : électromigration sur le niveau RDL et métal 1, ainsi que sur les niveaux bump (face arrière) et piliers de cuivre (face avant). Ces cellules de fiabilité ne sont pas étudiées dans ce manuscrit.

Au moment de la rédaction du manuscrit, le démonstrateur technologique n’était pas encore disponible pour la phase de test électrique.

Caractérisation TSV Couplage substrat

Fiabilité Couplage circuit Couplage composant Caractérisation RF 5,2 mm 5 ,2 m m

Caractérisation TSV Couplage substrat

Fiabilité Couplage circuit Couplage composant Caractérisation RF 5,2 mm 5 ,2 m m

Figure 3.17. Vue masque du démonstrateur technologique 3D haute densité.

3.4.

Synthèse

Pour conclure ce chapitre intermédiaire, il est intéressant de faire un rapide compte-rendu de ce qui a été abordé depuis le début. Nous avons vu au chapitre 2 que des phénomènes de couplage électrique, engendrés par les structures d’intégration 3D, se propagent dans le silicium et provoquent des variations de comportement électrique sur les composants logiques. Pour mettre en évidence ces phénomènes et parallèlement, pour caractériser électriquement la technologie 3D, des structures de test avec composants actifs ont été élaborées puis implémentées sur deux démonstrateurs utilisant deux technologies CMOS distinctes, ainsi que deux technologies d’intégration 3D différentes. Cette implémentation physique a été rendue possible par une phase de réflexion sur la conception de circuits tridimensionnels et par l’élaboration de méthodologies associées. Le chapitre suivant présente les résultats expérimentaux obtenus sur le premier démonstrateur 3D moyenne densité, principalement concernant l’impact des procédés d’intégration 3D sur les performances des technologies CMOS, ainsi que sur la mise en évidence des phénomènes de couplage électrique par le substrat engendrés par les TSV.

Chapitre 4

Caractérisation de la technologie d’intégration 3D et

son impact sur dispositifs CMOS.

Table des matières

Chapitre 4 ...139 4.1. Impact du procédé d’amincissement du silicium...143 4.1.1. Principe d’amincissement du silicium ...143 4.1.2. Protocole expérimental ...144 4.1.3. Résultats électriques...146 4.1.3.1. Transistors testés ...146 4.1.3.2. Montée en tension du transistor...146 4.1.3.3. Variations sur les courants spécifiques...148 4.2. Impact thermomécanique et effet de proximité du TSV...151 4.2.1. Impact des procédés d’intégration 3D sur les transistors ...151 4.2.1.1. Considérations générales ...151 4.2.1.2. Comportement des transistors NMOS ...151 4.2.1.3. Comportement des transistors PMOS ...152 4.2.2. Effet de proximité du TSV sur les transistors ...153 4.2.2.1. Comportement des transistors NMOS ...153 4.2.2.2. Comportement des transistors PMOS ...155 4.2.3. Effet de l’orientation de la grille des transistors ...157 4.2.3.1. Comportement des transistors NMOS ...157 4.2.3.2. Comportement des transistors PMOS ...159 4.2.4. Effet de proximité du TSV sur l’oscillateur en anneau...161 4.2.4.1. Considérations générales ...161 4.2.4.2. Impact sur l’oscillateur en anneau...161 4.3. Caractérisation du couplage par le substrat ...164 4.3.1. Caractérisation de la technologie 3D moyenne densité...164 4.3.1.1. Résistance du TSV...164 4.3.1.2. Capacité du TSV ...167 4.3.2. Bruit substrat ...173 4.3.2.1. Considérations générales ...173 4.3.2.2. Réponse du silicium ...173 4.3.2.3. Effet de la distance de la sonde de mesure ...175 4.3.2.4. Effet de la distance à la masse ...176 4.3.2.5. Effet de l’amplitude de la tension perturbatrice...177 4.3.2.6. Effet du temps du front de montée...178 4.3.3. Modèles électriques...179 4.3.3.1. Fonction de transfert ...179 4.3.3.2. Confrontation du modèle à l’expérience...181 4.4. Synthèse ...184

Préambule

Il est question dans ce nouveau chapitre de décrire et d’analyser les résultats expérimentaux obtenus majoritairement sur le démonstrateur dédié à la moyenne densité de TSV. Le chapitre précédent a permis de présenter toute l’étendue des motifs de test qui permettent d’adresser un nombre conséquent de problématiques liées à l’intégrité des technologies CMOS au sein d’une architecture 3D.

Le cœur de ce chapitre suit une hiérarchisation particulière des caractérisations et des niveaux d’impact engendrés par l’intégration 3D qu’il est nécessaire de décrire point par point pour une meilleure compréhension du chapitre. Trois thématiques se détachent ainsi :

- 1°) Mesure de l’impact mécanique du procédé d’amincissement du silicium sur les composants.

Ce procédé mécano-chimique s’avère être l’une des étapes les plus critiques dans l’ensemble du processus d’intégration 3D. Le fait de quantifier son impact sur les performances des composants permet de statuer sur l’impact d’un procédé particulier avant de considérer l’ensemble du processus d’intégration.

- 2°) Mesure de l’impact thermomécanique du TSV et du niveau RDL sur les composants.

En ayant isolé préalablement l’effet d’un procédé technologique agressif, l’influence de l’ensemble des procédés utilisés lors de l’intégration 3D peut alors être étudiée. L’objectif de cette thématique est double. Dans un premier temps, il s’agit de mesurer l’impact thermomécanique induit par les TSV et plus généralement par toutes les structures d’intégration 3D. Dans un second temps, nous cherchons à dissocier l’impact des procédés 3D et l’effet de proximité dû à la présence locale de TSV par rapport à des zones actives contenant des composants.

- 3°) Caractérisation du couplage électrique par le substrat induit par les TSV. Il est question ici de démontrer l’existence des phénomènes de couplage électrique mis en évidence par modélisation au chapitre 2. Un modèle électrique simplifié est également proposé. En guise de préambule, le TSV moyenne densité est caractérisé électriquement, notamment en termes de résistance et de capacité. La valeur de la capacité du TSV permet de remonter analytiquement à l’intensité maximale du pic de couplage par l’intermédiaire du modèle précité.

Documents relatifs