• Aucun résultat trouvé

Chapitre I. Introduction générale et contexte de l’étude

D. Objectifs de thèse

Les paragraphes précédents ont mis en évidence l’importance des contacts dans lescircuits intégrés. Pour limiter les problèmes liés à la miniaturisation et l’augmentation de la densité, de nouvelles stratégies de gravure des contacts sont nécessaires. En particulier, les techniques de « double patterning » avec l’utilisation d’un masque dur de TiN ont été intégrées dans le procédé de gravure des contacts pour atteindre les spécifications requises par les technologies 14FDSOI en termes dimensionnels (CD) et de géométrie des contacts. Cependant, la gravure de motifs de très petites dimensions par deux masques dits « durs » avec particulièrement un masque de TiN apporte de nouveaux challenges technologiques qui doivent être relevés pour continuer la course à la miniaturisation et à la densification des motifs. En effet l’introduction de ce masque laisse supposer que de nombreuses problématiques observées dans le domaine du BEOL pourraient concerner dès lors la fabrication des contacts [N.Pos2015]. Pour cela, toutes les étapes de gravure par plasmas doivent être mieux maîtrisées et optimisées.

L’objectif de cette thèse consiste à caractériser le procédé de gravure des contacts et particulièrement à déterminer l’effet des masques sur la gravure des contacts en termes de contrôle de profils et de CD.

Cette étude se déroule dans le cadre du développement des technologies 14FDSOI à STMicroelectronics. La méthodologie d’étude réside dans un premier temps à caractériser les profils des motifs par des techniques de microscopie électronique à balayage avec analyse chimique par analyse dispersive en énergie (EDX), puis dans un second temps à analyser par spectroscopie de photoélectrons X la surface de films sans motifs exposés aux procédés plasma. Ces techniques de caractérisation et les méthodologies utilisées sont décrites au chapitre II.

Dans le chapitre III, nous étudions l’étape d’ouverture du masque dur d’OPL. Pour cela, nous comparons deux procédés de gravure à base de chimies soit réductrices (N2/H2) soit oxydantes (COS/O2). Un procédé

en chimie COS/O2 qui permet d’obtenir les spécificités requises en termes de dimensions pour la gravure

du masque d’OPL est également mis au point.

Dans le chapitre IV, nous présentons l’effet de l’étape d’ouverture de l’OPL sur le transfert des motifs de contacts dans l’empilement SiO2/Si3N4. Ainsi, nous montrons que l’interaction entre les chimies de

gravure testées et le masque de TiN impacte la forme et la nature du masque dur, ce qui conditionne les profils de gravure obtenus sur des empilements SiO2/Si3N4.

Dans le chapitre V, nous traitons de la caractérisation et du développement de traitements post gravure des contacts pour limiter la croissance de résidus métalliques en surface des masques durs de TiN. Ces travaux constituent la première thèse issue de la collaboration entre STMicroelectronics, le LTM et le CEA/Leti concernant la problématique de gravure de contacts. Elle balaye les principaux défis dus à

Chapitre I : Introduction générale

Bibliographie Chapitre I

[H.Abe2008] H. Abe, M. Yoneda and N. Fujiwara,"Developments of Plasma Etching Technology for Fabricating Semiconductor Devices,” Japanese Journal of Applied Physics, 47 (3), 1435–1455 (2008).

http://dx.doi.org/10.1143/JJAP.47.1435

[S.All2002] S. Allen et al. "Integrated CD Shrink Methodologies for Contact Etch,” Northern California

Chapter of the American Vacuum Society PEUG Symposium, Sunnyvale, CA (July 2006).

https://www.yumpu.com/en/document/view/33126675/integrated-cd-shrink-methodologies-for- contact-etch

[L.Bab2010] L. Babaud, "Developement et optimisation d'un procede de gravure grille polysilicium pour les noeuds technologiques 45 et 32 nm,” Thèse de doctorat à l’Univerité de Grenoble (2010).

https://tel.archives-ouvertes.fr/tel-00668102/document

[P.Bez2016] P. Bezard, "Developement de procédés de gravure plasma innovants pour les technologies sub-14 nm par couplage de la lithographie conventionnelle avec l’approche auto-alignée par copolymère à blocs,” Thèse de doctorat à l’Univerité de Grenoble, (2016).

https://tel.archives-ouvertes.fr/tel-01285071/document

[R.Bac2007] R. Bachelot, “Nanophotolithographie en champ proche,” Techniques de l’ingénieur.

Nanostructures, (NM 556) (2007). http://www.techniques-ingenieur.fr/

[F.Bai2008] F. Bailly, "Limites imposées par les procédés de gravure plasma lors de la réalisation d'une structure double damascène : rugosité en fond de ligne des diélectriques poreux et intégration des barrières auto-positionnées. ” Thèse de doctorat en Sciences des matériaux à l’Université de Nantes, (2008). http://www.theses.fr/2008NANT2126

[M.Bak2013] M. R. Baklanov et al., "Plasma processing of low-k dielectrics," J. Appl. Phys. 113, 041101 (2013). http://dx.doi.org/10.1063/1.4765297

[J.Bar1948] J. Bardeen and W. H. Brattain, “The Transistor, A Semi-Conductor Triode,” Physics Review, 74, 230-231 (1948). http://journals.aps.org/pr/abstract/10.1103/PhysRev.74.230

[R.Bla2014] R. Blanc, “Développement et caractérisation de procédés de gravure des espaceurs Si3N4

pour les technologies FDSOI,“ Thèse de doctorat à l’Université de Grenoble, (2014).

http://www.theses.fr/s96890

[M.Boh2014] M. Bohr, “14nm Process Technology : Opening new horizons,” IDF (2014).

http://www.intel.com/content/dam/www/public/us/en/documents/technology-briefs/bohr-14nm-idf- 2014-brief.pdf

[R.Bou2009] R. Bouyssou, “Traitements plasmas Post Gravure pour l'intégration des matériaux SiOCH poreux dans les interconnexions en microélectronique,” Thèse de doctorat à l’Université de Grenoble, (2009). https://tel.archives-ouvertes.fr/tel-00679654/document

[M.Bru1995] M. Bruel, "A new Silicon On Insulator material technology," Electronics Letters, 31, 14, pp. 1201 (1995). http://dx.doi.org/10.1049/el:19950805

[E.Bou2015] E.Bourjot, “Germanosiliciuration à base d'alliages de Ni et NiPt pour le p-MOS 14nm FDSOI,”

[L.Che2006] L. Chen, A. Koshiishi and S. Okamoto,“Ballistic electron RIE,” Northern California Chapter of

the American Vacuum Society PEUG/TFUG Annual Symposium, San Jose, CA (May 2006).

[L.Che2011B] L. Chen and M. Funk, “DC and RF processing system,” United States Patent Application

Publication, US2011/0070665 A1 (2011). https://www.google.com/patents/US7993937

[T.Che2010]T. Chevolleau et al., “Analyses of chamber wall coatings during the patterning of ultralow- k materials with a metal hard mask: Consequences on cleaning strategies,” Journal of Vacuum Science &

Technology B, 25, 886 (2007). http://dx.doi.org/10.1116/1.2738482

[J.CobA1979] J. W. Coburn and H. F. Winters. “Ion- and electron-assisted gas-surface chemistry – An important effect in plasma etching,” J. Appl. Phys. 50(5), 3189 (1979).

http://dx.doi.org/10.1063/1.326355

[J.Cob1979] J. W. Coburn and Harold F. Winters, “Plasma etching—A discussion of mechanisms,” Journal

of Vacuum Science & Technology, 16, 391 (1979). http://dx.doi.org/10.1116/1.569958

[Com] SEM image of a sub-100 nm CMOS transistor, “1974 - Scaling of IC Process Design Rules Quantified,” 2014 Computer History Museum.

http://www.computerhistory.org/semiconductor/timeline/1974-Scaling.html

[M.Dar2007] M. Darnon, “Les Procédés par Plasmas Impliqués dans l'Intégration des Matériaux SiOCH Poreux pour les Interconnexions en Microélectronique,” Thèse de doctorat de l’Université de Grenoble, (2007). https://tel.archives-ouvertes.fr/tel-00181477/document

[M.Dar2010] M. Darnon et al. “Patterning of porous SiOCH using an organic mask : Comparison with a metallic masking strategy,” Journal of Vacuum Science & Technology B, 28, 149 (2010).

http://dx.doi.org/10.1116/1.3276703

[V.Don2013] V. M. Donnelly and A. Kornblit, “Plasma etching: Yesterday, today, and tomorrow” J. Vac.

Sci. Technol. A, 31, 050825 (2013). http://dx.doi.org/10.1116/1.4819316

[J.Duc2010] J. Ducoté, “Limites de l’intégration des masques de gravure et d’un matériau diélectrique hybride pour la fabrication des interconnexions en microélectronique,” Thèse de doctorat à l’Université

de Grenoble, Chapitre 3 (2010). https://tel.archives-ouvertes.fr/tel-00668087/document

[F.Fra1995] F. Fracassi et al., "Dry etching of titanium nitride thin films in CF4–O2 plasmas,” Journal of

Vacuum Science & Technology A, 13, 335 (1995). http://dx.doi.org/10.1116/1.579419

[D.Fua2003] D. Fuard, "Etude et caractérisation avancées des procédés plasma,” Thèse de doctorat à

l’Université d’Orléans, (2003). https://tel.archives-ouvertes.fr/tel-00006610/

[M.Har1989] M. A. Hartney, D. W. Hess, and D. S. Soane, "Oxygen plasma etching for resist stripping and multilayer lithography,” Journal of Vacuum Science & Technology B, 7, 1 (1989).

http://dx.doi.org/10.1116/1.584440

[E.Hua2008] E. Huang et al., "Low-k spacers for advanced low power CMOS devices reduced parasitic capacitances,” IEEE Internationalproceeding SOI conference, p19-20 (2008).

Chapitre I : Introduction générale

[O.Jou1994] O. Joubert et al., "Fluorocarbon high density plasma. V. Influence of aspect ratio on the etch rate of silicon dioxide in an electron cyclotron resonance plasma,” Journal of Vacuum Science &

Technology A, 12, 658 (1994). http://dx.doi.org/10.1116/1.578849

[O.Jou1997] O. Joubert et al., "Analyses of the chemical topography of silicon dioxide contact holes etched in a high density plasma source,” Journal of Vacuum Science & Technology B, 15, 629 (1997).

http://dx.doi.org/ 10.1116/1.589305

[J.Jus2015] J. Jussot, "Lithographie directe a faisceaux d'electrons multiples pour les noeuds technologiques sub-20nm," Thèse de doctorat à l’Université de Grenoble, Chapitre IV (2015).

https://tel.archives-ouvertes.fr/tel-01235745/

[D.Kah1960] D. Kahng and J. Atalla, "Metal Oxide Semiconductor (MOS) transistor demonstrated," U.S.

Patent N°3, 102, 230 (1960). http://www.computerhistory.org/semiconductor/timeline/1960-MOS.html

[J.Kim2000] J.H.Kim et al., "Plasma enhanced chemical vapor deposition Si-rich silicon oxynitride films for advanced self-aligned contact hole etching in sub-0.25 mµ ultralarge scale integration technology and beyond," The 46th International Symposium of the American Vacuum Society (AVS) (2000).

http://link.aip.org/link/?JVA/18/1401/1

[B.Meb2010] B. Mebarki, “Spacer Based Double Patterning Migration to 2-D lay-out,” in International

Symposium on Lithography Extensions, Kobe, Japan, October 21 (2010).

http://www.sematech.org/meetings/archives/litho/8940/pres/DP1_04_Bencherki%20Mebarki.pdf

[G.Moo1965] G. E. Moore, "Cramming more component onto integrated circuits" IEEE Solide state

circuit newsletter, 20 (3), 33-35 (1965, Reédité en 2006).

http://www.monolithic3d.com/uploads/6/0/5/5/6055488/gordon_moore_1965_article.pdf

[H.Nie2014] H. Niebojewski, "Contacts auto alignés pour la technologie CMOS 10nm FDSOI," Thèse de doctorat à l’Université de Lille, Chapitre I, (2014). http://www.theses.fr/2014LIL10105

[P.Pac2009] P. Packan et al., "High performance 32nm logic technology featuring 2nd generation High-k + metal gate transistors" Electron Devices Meeting (IEDM), IEEE International, 1-4 (2009).

[K.Pae2002] K.H. Pears, "A new etching chemistry for carbon Hard Mask structures," Microelectronic

Engineerig, 77, 255-262 (2005). http://dx.doi.org/10.1016/j.mee.2004.11.010

[E.Par2010] E. Pargon, "Advanced Plasma etching," 3h short course , 6th International Conference on

Micro & Nano Engineering (MNE), Genoa, Italy (September 2010). www.mne2010.org/short.php

[S.Pau2000] S. Pau et al., "Wavelength-independent optical lithography," J. Vac. Sci. Technol. B, 18, 317 (2000).http://dx.doi.org/10.1116/1.591192

[A.Per2010] A. H. Perret, “Effets de la fréquence d'excitation sur l'uniformité du plasma dans les réacteurs capacitifs grande Surface,” Thèse de doctorat à l’Université de Paris, Chapitre 5 (2010).

https://pastel.archives-ouvertes.fr/pastel-00000837

[M.Pon1994] M. Pons, J. Pelletier and O. Joubert, "Anisotropic etching of polymers in SO2/O2 plasmas :

Hypotheses on surface mechanisms," J. Appl. Phys. 75, 4709 (1994).

http://scitation.aip.org/content/aip/journal/jap/75/9/10.1063/1.355924

[N.Pos2005] N. Posseme, "Etude de l’intégration des matériaux à trés faible permittivité diélectrique dans une structure damascène pour les filières technologiques CMOS," Thèse de doctorat à l’Université

[N.Pos2010] N. Posseme et al., "Residue growth on metallic-hard mask after dielectric etchingin fluorocarbon-based plasmas. I. Mechanisms," J. Vac. Sci. Technol. B, 28, 809 (2010).

http://scitation.aip.org/content/avs/journal/jvstb/28/4/10.1116/1.3456182

[N.Pos2011] N. Posseme et al., “Residue growth on metallic hard mask after dielectric etching in fluorocarbon based plasmas. II. Solutions,” J. Vac. Sci. Technol. B, 29(1) (2011).

http://dx.doi.org/10.1116/1.3527073

[N.Pos2015] N. Posseme, "Plasma Etching Processes for Interconnect Realization in VLSI," Edité par

Elsevier Ltd, Kinglinton Oxford (2015). http://www.amazon.fr/Plasma-Etching-Processes-Interconnect- Realization/dp/1785480154

[R.Ram2008] R. Ramos, "Interactions entre les plasmas de gravure a couplage inductif et les parois du reacteur," Thèse de doctorat à l’Université de Grenoble, chapitre 3 (2008). https://tel.archives- ouvertes.fr/tel-00330664/document

[D.Ris2013] D. Ristoiu and J. Ducoté, “XPS Characterization of Ni-Pt Silicide Interaction with Contact Etch Plasma and Wet Clean Chemistries,” Plasma Etch and Strip in Microelectronics (PESM), 6th International workshop, Louvin (2013).

[O.Ros2016] O. Ros, "Development and characterization of plasma etching processes for the dimensional control and LWR issues during High-k Metal gate stack patterning for 14FDSOI technologies," Thèse de

doctorat à l’Université de Grenoble, (2016). www.theses.fr/s95053

[M.Sek2001] M. Sekine, "Dielectric film etching in semiconductor device manufacturing : Developement of SiO2 etching and the next generation plasma reactor," Applied Surface Science, 192, 270-298 (2002).

http://www.sciencedirect.com/science/article/pii/S0169433202000314

[C.Ste1989] C. Steinbruchel, "Universal energy dependence of physical and ion-enhanced chemical etch yields at low ion energy," Appl. Phy. Lett. 55(19), 1960 (1989). http://dx.doi.org/10.1063/1.102336

[STM] www.st.com

[A.Str2013] A. J. Strojwas, "Interconnect Manufacturing Challenges for the Most Advanced Technology Nodes,” First IEEE International High Speed Interconnects Symposium, Dallas, TX, April 30th (2013).

http://sites.ieee.org/sb-utd/files/2013/05/2b_ieee_src_utd_ti_aud_043013.pdf

[S.Tho2006] S. E. Thompson, "Moore’s law: the future of Si microelectronics” Materials Today, 9 (6) (2006). http://www.sciencedirect.com/science/article/pii/S1369702106715395

[M.Wat2001] M. Watanabe, D. M. Shaw and G. J. Collins, "Reduction of microtrenching and island formation in oxide plasma etching by employing electron beam charge neutralization,” Appl. Phys. Lett. 79, 2698 (2001). http://dx.doi.org/10.1063/1.1413726

[L.Xu2008] L. Xiu et al., "Diagnostics of ballistic electrons in a dc/rf hybrid capacitively coupled discharge," Appl. Phys. Lett. 93, 261502 (2008). http://dx.doi.org/10.1063/1.3062853

II.

Dispositif expérimental

Ce chapitre décrit les matériaux, procédés, équipements et techniques utilisés pour caractériser le procédé de gravure des contacts en double patterning. Dans un premier temps nous décrirons l‘empilement de matériaux utilisés puis dans un deuxième temps les réacteurs de gravure seront présentés. Finalement, plusieurs techniques de caractérisation ont été employées afin de préciser les mécanismes d’interaction plasma/surface se produisant pendant la gravure des contacts, et seront donc présentées dans ce chapitre.

A. Description de l’empilement et des procédés de gravure des contacts

Dans nos expériences sur le procédé de gravure des contacts nous avons travaillé sur un empilement similaire à celui utilisé en industrie pour les technologies 14FDSOI. Pour mieux comprendre le procédé de fabrication des contacts en double patterning, l’empilement et son évolution durant les deux étapes du double patterning sont décrits sur la figure II-1.