• Aucun résultat trouvé

Nous avons étudié l‟évolution de la constante diélectrique sur des lignes de diélectrique à l‟aide de structures réelles sur un niveau de métal. STMicroelectronics a mesuré la capacité (C) entre les lignes des structures dites « peigne » et la résistance (R) du cuivre des structures dites « serpentin » sur l‟ensemble de la surface plaquette comme présenté dans le chapitre 2 (2.III.4.1). Ces mesures ont un coût en temps et en moyens non négligeable. Ainsi, nous avons effectué ce travail que pour la gravure standard du nœud C045 et le traitement en CH4/N2 car il apparait comme le

traitement le plus prometteur en termes de modification du SiOCH (Chapitre 3) et qui semble être la meilleure solution pour lutter contre les résidus métalliques dont la problématique sera l‟objet du Chapitre 4.

(a)

POR

POR+CH4/N2

(b)

Figure 3-20 : (a) Comparaison entre les performances RC de la gravure (POR) et du traitement CH4/N2 (POR+CH4) et (b) image MET des lignes de cuivre de 70nm espacées de 70nm de diélectrique au niveau

de métal 1

La Figure 3-20-a présente la distribution des lignes de cuivre avec en abscisse la résistance de la ligne et en ordonnée la capacité entre deux lignes. Nous pouvons remarquer que les lignes traitées

en CH4/N2 ont la même distribution que celle de la référence (gravure seule sans traitement). Les

coupes MET (Figure 3-20-b) montrent que les dimensions de la ligne métallique restent bien identiques avec le traitement CH4/N2.

Le traitement CH4/N2 ne modifie pas la valeur de la capacité interligne à dimension égales par

rapport à la gravure standard (POR) donc la permittivité relative du diélectrique interligne n‟est pas significativement modifiée. Il est à noter que la capacité mesurée dépend pour plus de la moitié de sa valeur de la couche de SiCN située sous le SiOCH. Nous supposons que l‟introduction du traitement CH4/N2 n‟impacte pas sa valeur. Suite à nos résultats sur la modification des flancs, on pouvait

s‟attendre à une constante diélectrique plus faible après le traitement CH4/N2 puisque l‟épaisseur de

couche hydrophile est moins importante qu‟après celle après gravure. Pour expliquer que nous n‟observons pas de différence de capacité, il faut garder à l‟esprit qu‟avant les étapes de métallisation, les plaquettes sont sous vide ce qui permet de retirer l‟eau qui a condensé dans les pores hydrophiles du SiOCH modifié. Ainsi il est fort probable qu‟après métallisation, très peu d‟eau soit condensée dans les pores hydrophiles de la couche modifiée du diélectrique quelle que soit son épaisseur. Cette remarque peut expliquer pourquoi nous n‟observons pas de différence de capacité du diélectrique entre les lignes de cuivre avec et sans traitement en CH4/N2. Cependant il faut noter

que certains récents travaux ont montré que le caractère hydrophiles du SiOCH poreux a quand même un effet sur la capacité du diélectrique interligne. Chaabouni et al [105] montre une augmentation de la capacité du diélectrique dans un niveau métallique lorsque le SiOCH est plus hydrophile.

3.VI.Conclusion

Dans ce chapitre, nous avons caractérisé la modification du SiOCH sur le fond et les flancs de structures de SiOCH définissant l‟empreinte de la ligne métallique. Nous avons plus particulièrement étudié l‟effet du plasma de gravure et des traitements post gravure (O2, NH3, H2/Ar, CH4/N2) sur la

modification du SiOCH poreux. On peut considérer que l‟exposition à un plasma conduit à une couche modifiée sur le fond et les flancs de SiOCH.

Pour ces études, nous avons utilisé de nombreuses techniques de caractérisation. La modification sur le fond a été étudiée sur des couches de SiOCH plein champ et celle située sur les flancs a été étudiée sur des structures réelles. Nous avons mis en évidence que la technique de décoration (bain HF) est une technique simple pour estimer l‟épaisseur de la couche modifiée sur les couches de SiOCH plein champ (même si le bain HF ne retire pas totalement la couche modifiée). Par contre cette technique est plus difficile à utiliser pour estimer les couches modifiées sur les flancs de SiOCH en raison de l‟imprécision sur les mesures MEB. Les techniques de spectroscopie à infrarouge (FTIR) permettent de caractériser un changement structurel du SiOCH. Le FTIR en transmission donne accès à la déplétion en méthyles dans la couche modifiée sur le SiOCH en pleine plaque. Cette technique est plus délicate à utiliser sur les flancs de SiOCH et nécessite le développement de motifs adaptés. Le FTIR en réflexion multiple qui permet d‟étudier les propriétés hydrophiles de la couche modifiée est une technique imprécise et difficile à utiliser à la fois sur le SiOCH en pleine plaque et sur les flancs des motifs de SiOCH. Nous avons montré que les techniques de porosimétrie sont des techniques très intéressantes. En effet elles permettent de déterminer la porosité, la perméation de la surface et l‟épaisseur des couches hydrophiles selon le solvant utilisé à la fois sur le SiOCH pleine plaque et sur les flancs de SiOCH. En utilisant la spectroscopie à photoélectrons X, nous avons accès à la composition de la surface de la couche modifiée qui peut être déterminée sur le SiOCH plein champ et les flancs de SiOCH.

Nous avons estimé la constante diélectrique de la couche modifiée sur du SiOCH pleine plaque à l‟aide de la technique de goutte de mercure. Pour déterminer rigoureusement cette constante diélectrique, il faudrait pouvoir la mesurer en contrôlant précisément le taux d‟humidité ce qui n‟était pas le cas dans notre système expérimental.

Avec l‟ensemble de ces techniques, nous avons montré que le plasma de gravure fluorocarbonée et les différents traitements post gravure étudiés conduisent à une couche modifiée sur le fond et les flancs des structures. Ces couches qui sont appauvries en méthyles sont devenues hydrophiles avec une porosité similaire à celle du SiOCH vierge dans tous les cas. Les épaisseurs de la couche modifiée sont variables selon les chimies de plasmas utilisées mais aussi sur le fond et les

flancs de SiOCH. Nous observons une épaisseur de couche modifiée sur le fond après le traitement qui est similaire à celle obtenue après la gravure (30 nm) alors qu‟elle est plus fine après le traitement CH4/N2. L‟épaisseur de la couche modifiée sur les flancs de SiOCH est plus épaisse après le traitement

O2 ou NH3 (20 nm) par rapport à celle de la gravure (10 nm). Par contre cette épaisseur sur les flancs

est plus fine dans le cas du traitement CH4/N2 ou H2/Ar.

Après gravure, une couche fluorocarbonée est présente à la surface de la couche modifiée sur le fond et les flancs des structures. Cette couche fluorocarbonée ne scelle pas les pores de la surface de SiOCH sur le fond et les flancs puisqu‟elle n‟empêche pas la diffusion d‟un gaz dans les pores du matériau. Après la gravure et le traitement O2 ou le CH4/N2, la couche fluorocarbonée est quasiment

consommée à la fois sur les flancs et le fond du SiOCH. La couche fluorocarbonée est remplacée par une couche carbonée avec de l‟azote. Après la gravure et le traitement H2/Ar, la couche

fluorocarbonée est partiellement retirée sur le fond et les flancs de SiOCH. Dans le cas de NH3, la

couche fluorocarbonée est quasiment retirée sur le fond (couche carbonée uniquement) et les flancs de SiOCH. Quel que soit le traitement plasma, le fluor est observé en faible quantité sur le surface. Nous avons observé que sur les flancs de SiOCH la couche de surface ne scelle pas les pores quels soient les traitements plasmas. Par contre sur le fond, la couche densifiée en surface induite par le bombardement ionique joue le rôle de barrière en limitant la diffusion d‟un gaz dans le SiOCH poreux plus particulièrement dans le cas du traitement H2/Ar.

L‟ensemble de ces résultats montre que la modification du SiOCH est donc différente sur le fond et sur le flanc pour un même plasma ce qui met en évidence la nécessité d‟utiliser et de développer des techniques de caractérisation à la fois sur le fond et les flancs de SiOCH. Ces résultats mettent en évidence que le meilleur des traitements en termes de modification (épaisseur et caractéristiques de la couche modifiée sur les flancs et le fond) est le plasma CH4/N2 alors que le

Chapitre 4. Implémentation des traitements

post gravure

Nous allons étudier l‟intégration des traitements post gravure pour la technologie C045. Cette étude a été effectuée en étroite collaboration avec STMicroelectronics qui nous a permis d‟évaluer, sur des lots électriques C045, l‟industrialisation des procédés que nous avons développés au LTM et au LETI et présentés au chapitre 3.

Dans un premier temps, nous allons détailler les propriétés du nœud C045 ainsi que les étapes technologiques nécessaires à la réalisation d‟une structure double damascène qui est utilisée pour réaliser les lots électriques étudiés durant ce chapitre.

Dans un deuxième temps, nous allons étudier le point bloquant de l‟intégration d‟un masque dur métallique en TiN à savoir la contrainte de temps entres les étapes de gravure et de nettoyage humide liée à la formation de résidus. Après avoir présenté la problématique, nous expliquerons la réaction de formation des résidus. Ensuite, nous vérifierons, grâce à des études morphologiques et des tests électriques, l‟efficacité des traitements post gravure pour lutter contre la croissance de résidus.

Enfin, nous évaluerons la capacité des traitements post gravure à limiter la diffusion de la barrière métallique par l‟intermédiaire d‟analyses MET et EDX.