• Aucun résultat trouvé

Low temperature epitaxy of Si, Ge, and Sn based alloys

N/A
N/A
Protected

Academic year: 2021

Partager "Low temperature epitaxy of Si, Ge, and Sn based alloys"

Copied!
298
0
0

Texte intégral

(1)

HAL Id: tel-01759244

https://tel.archives-ouvertes.fr/tel-01759244

Submitted on 5 Apr 2018

HAL is a multi-disciplinary open access archive for the deposit and dissemination of sci-entific research documents, whether they are pub-lished or not. The documents may come from teaching and research institutions in France or abroad, or from public or private research centers.

L’archive ouverte pluridisciplinaire HAL, est destinée au dépôt et à la diffusion de documents scientifiques de niveau recherche, publiés ou non, émanant des établissements d’enseignement et de recherche français ou étrangers, des laboratoires publics ou privés.

Low temperature epitaxy of Si, Ge, and Sn based alloys

Joris Aubin

To cite this version:

Joris Aubin. Low temperature epitaxy of Si, Ge, and Sn based alloys. Materials Science [cond-mat.mtrl-sci]. Université Grenoble Alpes, 2017. English. �NNT : 2017GREAY058�. �tel-01759244�

(2)

THÈSE

Pour obtenir le grade de

DOCTEUR DE LA COMMUNAUTÉ UNIVERSITÉ

GRENOBLE ALPES

Spécialité : Physique des matériaux Arrêté ministériel : 7 août 2006

Présentée par

Joris AUBIN

Thèse dirigée par Jean-Michel HARTMANN

préparée au sein du Laboratoire CEA-LETI / DTSI /SSURF dans l'École Doctorale de Physique

Low temperature epitaxy of Si,

Ge, and Sn based alloys.

Thèse soutenue publiquement le « 03 Octobre 2017», devant le jury composé de :

Dr. Joël Cibert

Directeur de Recherches, Institut Néel, Grenoble (Président)

Dr. Isabelle Sagnes

Directeur de Recherches CNRS/C2N, Saclay (Rapporteur)

Pr. Frédéric Gardes

Professor, Université de Southampton (Rapporteur)

Pr. Dan Buca

Senior Scientist, Forschungszentrum Jülich (Examinateur)

Jean-Baptiste Pin

Process Senior Engineer, Applied Materials (Invité)

Dr. Jean-Michel Hartmann

(3)
(4)

Remerciements

Voici le moment de laisser parler mon cœur et de remercier toutes les personnes ayant participées directement ou non à ma thèse. Je vais commencer ces quelques lignes par remercier la personne m’ayant tout appris, Ô grand Pr. Hartmann. Même si initier un JarJar à une science dure comme l’épitaxie n’est pas de tout repos, tu as su avec patience (toi-même tu sais qu’il en a fallu ☺) et bienveillance me faire partager ton expertise. Au-delà de ça, tu m’as donné le goût de la recherche et surtout de l’épitaxie. Tel le maitre Yoda des atomes, tu m’as guidé et fait découvrir les conférences, les publications etc. C’est donc avec un énorme pincement au cœur que je finalise cette thèse, car même si le petit oiseau doit quitter le nid, ces trois ans resteront à jamais dans ma mémoire et influenceront grandement mon avenir. Donc encore une fois un grand MERCI ! Je tiens ensuite à remercier toute l’équipe épi, que ce soit de la croissance mono ou poly ☺ Merci à Yann Bogumilowicz pour les bons moments passés ensemble, ses bons conseils et son expertise sur l’épi. Merci à Matthew Charles, qui m’a souvent éclairé sur de nombreux sujets, notamment en Anglais… Je tiens bien évidemment à remercier trois amis, la sacoche et la mère veux-tu ! (Romain et Anne-Lise) et Calimero (Joël). Les longues discussions me manqueront !

Merci aussi à tous les collègues du SSURF qui sont géniaux et avec qui j’ai adoré travailler: Cindy, Victor, Pierre-Edouard, Mika (Mickael Rebaud), le danseur fou Laurent, Rami, Marwal, Aurélus, Marouane, Floch, Marianne, Pablito, Gaëlle, Sébastien et encore bien d’autres, que je remercie pour leur soutien tout au long de cette thèse. Et bien évidemment je tiens à remercier la haute sphère du SSURF, Chrystelle Deguet, Véronique Carron, Hubert Moriceau et Sylvain Maitrejean qui ont été très présents durant ma thèse et m’ont permis d’avoir un regard critique sur mes travaux. Un énorme merci à toutes les personnes travaillant en salle, Sylvie, Lazar, Véro., Benjamin, Stéphane, Philippe, Clément, Sébastien et bien évidemment nos amis de chez Applied Materials, Jean-Baptiste, Stéphane et Jérémie.

Merci à nos confrères de l’INAC, du DOPT/DTSI, Julie Widiez, Andréa Quintero Colmenares, Philippe Rodriguez, Alban Gassenq, Samuel Tardif, Vincent Calvo, Laurent Milord, Vincent Reboud, Alexei Tchelnokov, Mathieu Bertrand, Kevin Guilloy, Nicolas Pauc et Thai Quang Minh. C’était vraiment un énorme plaisir de travailler avec vous. Votre joie et votre motivation sont

(5)

vraiment un énorme boost pour le projet photonique. Sans tout ceci, nous n’aurions pas ces résultats, ne changez rien ! Je remercie également nos camarades du Forschungszentrum Jülich, Mademoiselle Stange, Dan Buca, Stephan Wirths et Nils pour les bons moments passés en conférence, c’était vraiment de belles rencontres.

Je vais maintenant remercier toute ma famille et mes amis qui ont été présents, même sans le savoir, pour ces trois années. Je pense tout particulièrement à mon père et à ma mère qui m’ont inculqué des valeurs et m’ont soutenu dans tous mes choix. Je remercie bien évidemment ma sœurette, ma petite nièce, mes deux frères et mes grands-parents. J’ai une pensée toute particulière pour mes grands-pères qui m’ont surveillé de là-haut et sans oublier mon mère et arrière-grand-père. Je tiens aussi à remercier mon oncle Serge Ratton, sans qui je ne serais pas ici en ce moment même. Tu as su m’aider à construire mon avenir sur des bases solide et je t’en serai toujours reconnaissant !

A mes amis que je respecte au plus haut point ! Jujuu, Fab, Oliv, Yann, Radoukowsky, Ton’s, ronron, Jeff, Claire, Pi et tant d’autres qui m’épaulent depuis fort longtemps ! Juu ! Je suis toubiiibe !

Mes derniers remerciements, et non les moindres iront à ma bonne amie, autrement dit ma Femme. Elle me supporte chaque jour depuis dix ans et m’apporte énormément de choses. Sans ton réconfort, ton amour et nos moments passés ensembles, rien n’aurait été possible. Tu as été présente à tous les instants ! Je ne te dirais jamais assez Merci ! Je n’oublie bien évidemment pas notre petit Chat Joki.

Je dédie cette thèse à toutes ces personnes que j’aime tant !

(6)
(7)
(8)

1

General introduction

Nowadays, the demand for low-cost and efficient Central Processing Units (CPU), Graphic Processing Units (GPU), photo-detectors, etc. is growing rapidly. Increasing component’s performances while keeping sizes as low as possible is then a must. Existing electrical interconnection technologies currently limit the performance of high performance computers and switching systems. An alternative based on optical interconnections is being studied. It requires the development of high speed, reliable and compact optical devices, such as photodetectors, modulators and sources, compatible with the telecommunication wavelengths.

Proposed in 1965, the Moore’s law predicted that the number of transistors in a dense integrated circuit (IC) doubles approximately every two years. Nowadays, following-up this law and reducing the component sizes is increasingly complicated and costly with a straightforward Si-based technology. Introducing new materials in order to boost performances or considering innovative integration technics becomes mandatory. III-V alloys, such as GaAs or InGaAs, are for instance being considered as channel materials for n-type Metal Oxide Semiconductor Field Effect Transistors (nMOSFETs). Meanwhile, pure Ge provides the highest hole mobility of all cubic semiconductors (1900 cm2.V-1.s-1 instead of for instance 450 cm2.V-1.s-1 for Si) for high

performance P-type MOSFETs.

III-V or III-N based heterostructures are also very interesting as active materials in optical components, but their integration in the microelectronic industry is still complicated. Germanium is by contrast one of the best candidate to integrate Near Infra-Red and Mid Infra-Red (NIR and MIR) group-IV optical components on chip. Its indirect bandgap is however a major issue that has somehow be overcome. Three methods have been explored in the last decade to that end.

i. Heavily phosphorous doping of Ge: The electrons given by P atoms to the Ge matrix fill

the L valleys of the conduction band, promoting direct transitions between the Γ points of the conduction band and the heavy and light hole sub-bands of the valence band.

(9)

2

ii. Tensily strain a Ge layer will strongly modify its conduction and valence band. The band-gap can indeed be turned from indirect to direct, which improved its light emission in the MIR (2.5 µm wavelength, typically). The required strain to obtain a direct bandgap should theoretically be of 4.5 % if it is uniaxial and 1.8 % if it is biaxial.

iii. Alloying Ge and Sn is very interesting to obtain direct band-gap Ge-based semiconductor. Adding Sn to Ge will reduce the bandgap between the valence band and the conduction band. This shrinking will be faster at the Γ point than at the L point, however. A transition from indirect to direct bandgap was recently demonstrated in GeSn layers with Sn concentrations close to 12 %, those layers being partly compressively strained on the Ge Strain-Relaxed Buffers underneath.

This diversity of materials and applications thus requires to carefully choose process conditions in order to have good quality epitaxy. This is particularly true for high Sn content (Si)GeSn layers where thermal budget minimization (with temperatures definitely lower than 450 °C) is then a must during epitaxy and annealing steps.

In this PhD thesis, we will explore the epitaxial growth and the electrical and optical properties of group IV materials such as Ge(:P), GeSi and GeSn alloys, the aim ultimately being to fabricate fully Si-compatible optical components such as lasers or photodetectors. The manuscript will be divided in six chapters:

The first Chapter will be an introduction chapter. I will first of all introduce epitaxy with a special focus on crystalline defects, surface energy and growth modes. The Si-Ge-Sn system will then be detailed. Lattice parameters, physical properties and relaxation mechanisms will notably be discussed.

In the second chapter, I will detail the Reduced Pressure – Chemical Vapor Deposition tool and the characterizations techniques I used during my PhD, such as Atomic Force microscopy, X-Ray Diffraction, X-Ray Reflectivity, etc.

We have studied in the third Chapter the epitaxy of pure Ge, heavily in-situ phosphorous doped Ge and GeSi alloys with a dedicated low temperature germanium gaseous precursor, e.g. digermane. After a benchmarking of germane and digermane for the growth of pure Ge at temperatures below 500 °C, we have combined digermane with phosphine for the 350°C, 100 Torr

(10)

3

growth of Ge:P layers. The 475°C growth kinetics of GeSi will also be discussed, using either disilane or dichlorosilane as Si precursors.

Having carried those preliminary studies, the fourth Chapter will be dedicated to the growth and properties of thin, fully compressively strained GeSn layers. They were indeed the very first GeSn layers grown in CEA’s clean room. The impact of temperature and active gas mass-flows on GeSn growth kinetics and structural properties will notably be discussed.

The growth and structural properties of thick, partially relaxed GeSn layers grown on Ge Strain Relaxed Buffers, themselves on (100) Si substrates, will be detailed in the fifth Chapter. The relaxation mechanisms of high Sn content GeSn layers as a function of thickness (in the 30 to 480 nm range) and composition (in the 10% to 15% range), will notably be investigated. To that end, X-Ray diffraction, Atomic Force Microscopy and Transmission electron Microscopy measurements were performed. The benefit of using a GeSn Step-Graded structure in terms of crystalline quality and surface morphology will notably be demonstrated.

Finally, in Chapter six, we will use the know-how developed along this PhD to fabricate suspended GeSn micro-disks. Nominal and Step-Graded GeSn 13% and 16% layers will be under-etched in order to relax the residual compressive strain at free-standing edges. This way the offset between Γ and L valleys will increase and the optical modes will be confined in the GeSn layers. If the crystalline quality of such GeSn layers is good enough, optically pumped laser emission is expected, then.

(11)

4

Table of contents

General Introduction……….1

Chapter I: Epitaxy and Applications………...11

I.1 – Introduction……….13

I.2 – Epitaxy………13

I.2.a – Concept………13

I.2.b – Point Defects and Dislocations………16

I.2.c – Substrates and Strain Relaxed Buffer Layers………20

I.2.d – Surface Energy and Growth Modes……….22

I.3 – Si, Ge and Sn Based Alloys………25

I.3.a – SiGe Alloys………..26

I.3.b – (Si)GeSn alloys………30

I.4 – Conclusion ……….39

Chapter II: From Growth to Characterization………..47

II.1 – Introduction………...49

II.2 – Chemical Vapor Deposition………..50

II.2.a – Surface Preparation……….50

(12)

5

II.2.c – Epi-Centura 5200………53

II.2.d – CVD Mechanisms………...59

II.3 – Sample Characterizations………..60

II.3.a – Morphological Characterizations………61

II.3.b – Layer Composition / Thickness and Crystallographic Quality………..63

II.4 – Conclusions………...82

Chapter III: Pure Ge, Heavily P-Doped Ge and SiGe Alloys Epitaxy………..95

III.1 – Introduction………..97

III.2 – Benchmarking of GeH4 and Ge2H6 for the low temperature growth of pure Ge………….97

III.2.a – Material grown and characterizations used………97

III.2.b – Theory - Growth Mechanisms………..99

III.2.c – GeH4 Vs Ge2H6 – Impact of the Growth Temperature……… ……….104

III.2.d – GeH4 Vs Ge2H6 – impact of the Ge mass-flows and chamber pressure……….106

III.3 – Heavily Phosphorous doping of Ge using digermane and phosphine………109

III.3.a – Ge:P Structural Properties………110

III.3.b – Ge:P Electrical Properties………111

III.4 – Ge Rich GeSi Alloys at 475 °C with Digermane………114

III.4.a – Theory – SiGe Growth mechanisms………114

III.4.a – GeSi Growth Kinetics – Experiments……….117

(13)

6

Chapter IV: Germanium-Tin Thin Films: Growth Kinetics & Properties………133

IV.1 – Introduction………135

IV.2 – Material Grown and Characterization Used………..135

IV.2.a – Process Flow………135

IV.2.b – Characterization………..136

IV.3 – Germanium Tin Alloys Growth Kinetics………137

IV.3.a – Very First Tests………...137

IV.3.b – Impact of the Ge2H6 and SnCl4 Flows on Growth Kinetics and Structural Properties of GeSn……….140

IV.3.c – Impact of the Temperature on Growth Kinetics and Structural Properties of GeSn……….147

IV.4 – Uniformity……….152

IV.5 – Conclusions……… 156

Chapter V: Thick / Partially Relaxed Germanium-Tin Epi-Layers………...163

V.1 – Introduction……….165

V.2 – Nominal, Thick GeSn Layers………..165

V.2.a – Growth Characterization………...165

V.2.b – Structural Properties……….168

V.2.c – Surface Morphology……….176

V.2.d – Additional studies……….179

V.3 – Step-Graded Structures: Structural Comparison……….181

(14)

7

CHAPTER VI: Optically pumped GeSn micro-disks with 16 % Sn lasing at 3.1 µm up to 180K……….197

VI.1 – Introduction of Chapter VI………199 VI.2 – Optically pumped GeSn micro-disks with 16 % Sn lasing at 3.1 µm up to 180K (Article published in Applied Physics Letters: Appl. Phys. Lett. 111 (2017) 092101) 200 VI.3 – Conclusion of Chapter VI………..212

(15)

8

Annex contents

Annex I: Epitaxial growth of Si and SiGe at temperatures lower than 500 °C

with disilane and germane (article).

Annex II: Very low temperature (450°C) selective epitaxial growth of heavily in

situ boron-doped SiGe layers (article).

Annex III: Nano-augers Measurements on GeSn layers.

Annex IV: Extended abstract of this PhD (in French)

(16)
(17)
(18)

11

CHAPTER I: EPITAXY AND

APPLICATIONS

(19)

12

CONTENTS OF CHAPTER I

I.1- Introduction ... 13

I.2 - Epitaxy ... 13

I.2.a - Concept ... 13

I.2.b – Point defects and dislocations ... 16

I.2.b.i – Point defects ... 16

I.2.b.ii – Dislocations ... 18

I.2.c – Substrates and Strain Relaxed Buffer Layers ... 20

I.2.d – Surface Energy and Growth modes ... 22

I.3 - Si, Ge and Sn based alloys ... 25

I.3.a - SiGe Alloys ... 26

I.3.a.i - Lattice parameter... 26

I.3.a.ii - Physical properties ... 27

I.3.a.iii - Relaxation mechanisms ... 27

I.3.b – (Si)GeSn alloys ... 30

I.3.b.i Lattice parameter ... 30

I.3.b.ii - Physical properties ... 33

I.3.b.iii - Relaxation mechanisms ... 35

(20)

13

I.1- Introduction

The so-called epitaxy process was pioneered in 1951 by Gordon Teal and Howard Christensen (from the Bell Labs) [Teal, 1951]. Today, epitaxy is a widely used process, whether for microelectronics or for optoelectronics purposes. The diversity and the complexity of the applications makes epitaxy a subject of choice for scientists.

Some definitions and concepts specific to epitaxy will be provided in this chapter. We will notably focus on the properties of the Si-Ge-Sn system, the notion of critical thickness for plastic relaxation, misfit dislocations, etc.

I.2 - Epitaxy

I.2.a - Concept

The word epitaxy comes from the Greek « Epi » (above) and « taxis » (an ordered manner). During an epitaxy, a single-crystalline layer is deposited on top of a single crystalline substrate. The deposited layer will usually adopt the same crystalline structure as the substrate underneath. This is the case for III-V or IV-IV compounds, which crystallize in the diamond or sphalerite phase, e.g. SiGe, GeSn, Si and Ge. The structure is indeed dictated by the underlying substrate but sometimes a rotation in the crystal’s direction occurs, for instance during the epitaxy of metals on insulating substrates.

We will talk of homoepitaxy when the substrate and the layer are of the same type (i.e. Si on Si, Figure I.1-a) and of heteroepitaxy when they are not (i.e. SiGe on Si, Figure I.1-b). During a heteroepitaxy, there will usually be a lattice parameter mismatch between the deposited layer and the substrate. Elastic energy will thus accumulate in it. When the deposited thickness is low, the atomic columns of the substrate will extend into the epitaxial layer, which is then pseudormorphic. The in-plane lattice parameters will thus be the same (i.e. || = ). Two scenarios may occur depending on the lattice parameter of the layer:

(21)

14

- When the bulk lattice parameter aL of the layer is lower than that of the

substrate, the layer will then be tensile-strained, i.e. ||=

- When the bulk lattice parameter aL is higher than that of the substrate,

the layer will then be compressively-strained, i.e. ||= . In this specific case, the system might minimize the elastic energy stored through the formation of ripples on the surface.

Figure I.1 : Schematic illustration of homoepitaxy and heteroepitaxy

Assuming a tetragonal distortion of the crystal lattice the perpendicular lattice parameter is (in both cases) given by

(22)

15

Where cii are the cubic elastic coefficients in the contracted index notation for each material.

For instance, is equal to 0.77, 0.74 and 1.02 for Si, Ge and α-Sn, respectively [Beeler,

2011], [Mason, 1958], [McSkimin, 1953]. In a first approximation, a linear extrapolation

between the lattice parameters of Si, Ge and Sn can be considered for binary alloys such as SiGe or GeSn. In the following, a more precise formula [Beeler, 2011] has been used for GeSn alloys:

= 0.3738 0.1676 0.0296 Eq. I.2 Based on a quadratic interpolation of ab initio theoretical calculations in [Kouvetakis, 2006].

Figure I.2 : Schematic diagrams of the atomic arrangement when growing a GeSn layer on top of a Ge substrate.

However, when the thickness of the deposited layer is more important, it will at some point become energetically favorable to inject misfit dislocations in order to accommodate the lattice parameter mismatch and minimize the total energy stored. The layer will then plastically relax. The thickness at which the transition from pseudormorphic to plastically relaxed layer

(23)

16

occurs, will be called critical thickness for plastic relaxation. The various configurations are summarized in Figure I.2.

I.2.b – Point defects and dislocations

Ideally, during an epitaxy the atomic columns of the substrate will extend in the layer on top. In reality the lattice of the substrate / the epi-layer can exhibits some imperfections. Those imperfections will locally disturb the arrangement of the neighboring atoms. They are generally classified according to their dimensionalities:

- 0D (Point defects) such as vacancies or impurities. - 1D (Line defects) such as dislocations.

- 2D (surface / interface) such as anti-phase boundary, stacking faults, etc. (not discussed here).

- 3D (volume defects) such as twins, precipitates, segregation (see part I.3.b.2), voids/cracks, etc.

I.2.b.i – Point defects

Each crystal has some defects. It is indeed impossible to fabricate a crystal without any impurities and defects. Those defects generally come from impurities in the seed crystal used to fabricate the semiconductor. Hence, point defects can be first of all separated into two categories, native point defects and impurity-related defects. Native point defects pre-exist in the crystal lattice (for instance in the pure silicon lattice) while impurity-related defects are due to the introduction of foreign impurities. Boron, arsenic, phosphorous and other column III or column V atoms (in a group-IV semiconductor) on are a special class of impurities called dopants.

Four types of point defects can be found:

(24)

17

- A self-interstitial atom (cf. Figure I.3.b), i.e. an atom (the same as those already present in the lattice) occupying an interstitial position in the lattice

- A foreign substitutional atom (cf. Figure I.3.c), i.e. an impurity substituting for an atom in the crystal lattice;

- A foreign interstitial atom (cf. Figure I.3.d), i.e. a different nature and size atom located in-between atoms in the crystal lattice

The introduction of such defect will apply a stress field on the neighboring atoms of the lattice. The stress could be tensile (vacancies, or smaller substitutional impurities) or compressive (bigger substitutional or interstitial atoms). This stress field will locally modify the lattice and thus change the electrical and physical properties of the materials. Finally, those defects can move inside the lattice and propagate the deformations.

Figure I.3 : Schematic diagrams of the atomic arrangement when (a) a vacancy, (b) a self-interstitial atom, (c) a substitutional atom and (d) an interstitial atoms are introduced in the crystalline lattice.

(25)

18

I.2.b.ii – Dislocations

During a heteroepitaxy, elastic energy will accumulate due to a lattice parameter mismatch. Above the critical thickness for plastic relaxation, dislocations will be nucleated to accommodate this misfit and relax the energy stored in the grown film. Basically, dislocations are line defects along which the crystal lattice is shifted. The vector along the dislocation line is called line vector (or dislocation vector) L. A closed loop around the dislocation core differs from that in an ideal crystal. The difference vector is called the Burger’s vector b. More precisely, this vector represents the direction and magnitude of the lattice distortion created by a dislocation [Callister, 2005]. The glide plane refers to the plane defined by L and b. For instance, on Si or Ge (001) substrates, the intersection of the growth plane and the {111} glide planes are <110> lines. Two main types of dislocations exist in crystalline materials:

- Edge dislocations (Figure I.4.a), i.e. line defects where an extra half-plane of atoms is introduced mid-way through the crystal, distorting atomic planes nearby.

- Screw dislocations (Figure I.4.b), i.e. line defects in which a path spiral around a dislocation line penetrating through otherwise individual parallel planes.

Figure I.4 : Schematic diagrams of (a) an edge and (b) a screw dislocations [Grundmann, 2006]

Dislocations usually nucleate as half-loops on the surface and extend toward the interface. Those dislocations are unstable, however [Hull, 1991]. The dislocations located at the top surface will preferentially glide along the {111} crystallographic planes in order to minimize strain. The dislocations will achieve an equilibrium state when the half loops have reached the interface, after which they will split in three segments (Figure I.5). They may propagate into the substrate. The dislocations segments going from the interface towards the surface are called

(26)

19

Threading Dislocations. Local strain variations in the volume, for instance at point defects, can

be also a source of half-loop dislocations. It has been found that the addition of impurities can lead, in specific cases, to a substantial reduction of the dislocation density due to an increase of the so-called critical resolved shear stress [Smaminathan, 1993].

Figure I.5: Schematic diagram of the nucleation and dissociation of misfit dislocation [Marée, 1987]

The energy of a dislocation being proportional to b², only dislocations with the lowest Burger’s vector are stable. For a perfect misfit dislocation, the associated Burger’s vector is expressed as follow:

= 〈101〉 [Kasper, 2000]

It represents the minimal lattice translation vector <101> associated with a 60° dislocation. A dissociation into 90° and 30° partial dislocations is however possible, if the dislocation energy is too high. The associated Burger’s vectors are then expressed as follows:

= "〈211〉 and = "〈112#〉

In materials under tensile strain, it was shown that strain can dissociate 60° perfect dislocations into 90° and 30° Shockley partial dislocations [Marée, 1987]. For a sufficiently large strain, a stacking fault will be generated. Such a dissociation can be dramatic in terms of crystalline quality. This can happen when growing tensile Si on top of thick SiGe or Ge strain

(27)

20

relaxed buffers. Indeed, if the sSi layer thickness is too high (also called “critical thickness”), namely if the tensile strain inside the said-layer is too high, 60° dislocation coming from the buffer layer will dissociate into 30° and 90° dislocations which generates stacking faults

[Bedell, 2004], [Hartmann, 2008 – 2007a – 2007b], [Hirashita, 2005], [Kimura, 2006] (see Figure I.6). The same phenomenon could occur for Ge layers grown on top of thick GeSn

relaxed layer. In the following, the growth parameters should thus be carefully chosen in order to stay below such a “critical thickness”.

Figure I.6: Schematic diagram of the dissociation of 60° perfect dislocation into 30° and 90° dislocations.

I.2.c – Substrates and Strain Relaxed Buffer Layers

Silicon substrates with a (001) orientation, known as Wafers, are the most used in the microelectronic industry. Beforehand, meachanical, thermal, chemical, cleaning and inspections steps are mandatory, however. A Czochralski process is used to fabricate them. Cylindrical ingots of high purity single crystalline semiconductor, also called boules, is formed

(28)

21

are pulling seed crystals from “melts”. This seed crystal determines the crystalline orientation. A flat or a notch is the groove which is etched into the ingot to establish orientation. The flat or notch of a <100> wafer is oriented along the [110] direction (Figure I.7). It is also possible to add some impurity atoms, such as boron or phosphorus, to the molten intrinsic material in precise amounts in order to dope the crystal, changing it into n-type or p-type extrinsic semiconductors. Boules are then sliced with a wafer saw (wire saw) and polished to form wafers. It is possible to cut thousands of wafers in 12 hours.

Figure I.7 : Schematic diagram of a typical 200mm (001) substrate. Crystalline directions are displayed.

The surface of a silicon wafer is typically a (001) plane with a [001] surface normal vector.

Sometimes wafers are intentionnaly miscut by 4° to 6° towards one of the <110> directions, typically to grow III-V semiconductors. In a perfect cut wafer, the whole surface would be atomically flat. This is in practice not feasible, and the random direction miscut is typically in the (-0.25°; 0.25°) in prime 200 mm Si substrates. Terraces will then be present on the surface, with an average length L given by tan ' = ()

* , + being the Si lattice parameter (5.431 Å)

and ' the misorientation angle). L is typically equal to 31 nm for a 0.25° miscut.

The wafers could have various diameters, for instance 200 mm (8 inch), 300 mm (12 inch), etc. The higher the diameter is, the higher the thickness is. Typically, for 200 mm

(29)

22

substrates, the thickness is equal to 725 µm. In this PhD, we have only used two type of substrates : (i) sligthly n-type doped (3-6 Ω) and nominal (± 0.25°) 200 mm Si(001) substrates or (ii) slightly p-type doped (1-50 Ω) and nominal (± 0.25°) 200 mm Si(001) substrates.

Grown SiGe, GeSn or Ge Virtual Substrates (also called Strain Relaxed Buffers or SRBs) are altenatives to the use of bulk Ge or SiGe surbstrates. This due to the exceedingly high difficulty of obtaining SiGe and GeSn bulk substrates and the high Ge bulk susbtrate prices. By virtual substrate, we mean a relatively thick layer deposited on a Si substrate with a lattice parameter on top almost equal to that of a bulk material. Several routes have been explored to obtain thick, relaxed Ge, SiGe or GeSn films on Si substrates with low defectivity. In this thesis, 1.3 and 2.5 µm thick Ge SRBs will be used as templates to grow GeSn or GeSi layers on top. To that end, a low growth temperature / high growth temperature approach with short thermal cycling will be used, as detailed in [Hartmann, 2008 – 2009 - 2010]. This thermal cycling, typically 4x{750°C, 10s/890°C, 10s} under H2, is indeed called upon to further reduce

the amount of Threading Dislocations Density (TDD) [Hartmann, 2010]. The threading arms of misfit dislocations gliding is indeed thermally assisted. A fraction of those dislocations will then mutually annihilate or be eliminated at wafer edges.

I.2.d – Surface Energy and Growth modes

Several methods can be considered to grow epitaxial films, for instance Molecular Beam epitaxy, Reduced Pressure Chemical Deposition, etc. (see. part II.1 for more details). Because the substrate acts as a seed crystal, the adatoms will try to adopt the same structure and crystallographic orientation than the substrate. It is not as simple as that, however. Adatoms will diffuse on the surface in order to find perferential sites for incorporation (such as kinks, step edges, etc.). As the layer thickness increases, the surface energy will change and will rapidly reach the bulk value. The growth mode and thus the surface morphology are definitely influenced by (i) the difference Δɤ, between the global surface energy ɤg (namely the addition

of the surface energy of the layer ɤL and of the interface ɤi) and the surface energy of the

(30)

23

,ɤ = ɣ ɣ+ ɣ = ɣ/ ɣ0 Eq. I.3

Three distinct growth modes are possible (Figure I.8):

- Frank – Van der Merwe : This growth mode occurs when Δɤ < 0. This 2D growth mode is the optimal one. Adatoms diffuse on the surface and incorporate at step edges, with an atomic monolayer-by-monolayer growth. This automatically leads to smooth, 2D layers. Such a growth mode is typical of homoepitaxy.

- Volmer – Weber : This growth mode occurs when Δɤ > 0, which means that the adatoms are more attracted by each other than by the substrate. Islands will thus be nucleated onto the surface. We could observe this 3D growth mode when the material of the top layer is too different from the substrate.

- Stransky – Krastanov : This growth mode typically occurs for the Si-Ge system. At first, the growth will occur monolayer by monolayer, as in the Frank-Van der Merwe mode. Both the strain and surface energy will change as growth proceeds, however:

,1 = ,ɣ ,12 Eq. I.4

Where ,12 is the energy variation during growth. For instance, EE is expressed for

the Si-Ge system as follow 12 = 2. 3456.. 71 81 8 +95 +95: +95 ; + + < Eq. I.5 [O’Reilly, 1988]

x being the Ge concentration, tdep. the deposited thickness and G the shear modulus.

This equation might be applied on the Ge-Sn sytem, by replacing +95 and + by

95 = and 95, respectively.

At some point, this elastic strain will be much higher than the surface energy. The growth then will switch from 2D to 3D. Growth parameters and Ge (Sn) concentration definitely drive this process. Using low temperature will indeed minimize this 2D to 3D transition phenomena. Adatoms on the surface will have lower difusion length on the surface. Islands will be less likely to form, then.

(31)

24

Low/high temperature approach widely used to grow thick Ge layer directly on Si

[Halbwax, 2005], [Hartmann, 2008], is based on such technics. Typically, 80 nm

thick “seed” layers are grown at 400°C, 100 Torr in order to have a rather flat, nearly fully relaxed Ge layer. Thick Ge layer is thereafter grown on top, at relatively high temperature, namely 750°C, 20 Torr. This way, strain and surface energy are managed to obtain smooth and islands-free thick Ge layers.

Figure I.8: Schematic diagram, showing the Frank-Van der Merwe (left), the Volmer-Weber (middle) and the Stransky-Krastanov growth modes

(32)

25

I.3 - Si, Ge and Sn based alloys

Tin, silicon and germanium belong to column IV of Mendeleev’s table. The main properties of Si, Ge and Sn are summarized in Table 1.

Table 1 : Summary of the Si, Ge and Sn properties

Si

Ge

Sn

a

0

(Å)

5.431

5.658

6.489

E

g

(eV)

1.11

0.66

0

T

Melt.

(°C)

1414

938

232

The lattice parameter of Sn (i.e. the length of one of the sides of the cube in Figure I.9) is much larger than that of Ge, which is itself is much larger than that of Si (a0 (α-Sn) = 6.489

Å a0 (Ge) = 5.65785 Å a0 (Si) = 5.43105 Å). This lattice parameter decrease is

accompanied by a sharp decrease in the energy bandgap: Eg (α-Sn) ~ 0 eV Eg (Ge) = 0.66 eV Eg (Si) = 1.11 eV. Mixing Si, Ge and Sn in a (Si)GeSn alloy will thus induce strong band-gap modifications; built-in stress will also play a role. However, major issues, such as the large lattice mismatch and the very low melting temperature of tin, have to be overcome to grow high Sn content GeSn epitaxial layers of good quality. As detailed in the following, Sn atoms tend to segregate and precipitate to form Sn-rich GeSn or pure β-Sn clusters.

(33)

26

Figure I.9 : elementary lattice for the diamond structure (Si, Ge, α-Sn). Image source [https://fr.wikipedia.org/wiki/Silicium]

I.3.a - SiGe Alloys

I.3.a.i - Lattice parameter

Both Si and Ge crystallize in the diamond structure. The lattice parameter of Ge being much larger than the Si one (Table 1, aSi = 5.43105 Å and aGe = 5.65785 Å), the lattice

parameter of Si1-xGex alloys is given to a first approximation by a linear interpolation between

the Si and Ge lattice parameters. This interpolation has been first proposed by Vegard and is called the Vegard’s law:

+95 ; = 1 ; . + ;. 95 Eq. I.6

A more precise determination of the Si1-xGex lattice parameter based on experimental

measurements has been proposed by Dismukes et al. [Dismukes, 1964]. This deviation from the Vegard’s law is parabolic:

(34)

27

The maximum variation between Vegard’s and Dismukes’ laws is less than 7.10-3 Å (for x=

0.5). The parabolic relationship will be used in the following of the manuscript.

I.3.a.ii - Physical properties

The thermodynamic diagram of the Si-Ge system is plotted in Figure I.10. It is clear that Si and Ge are completely miscible in all proportions. In other words, there is only one solid or one liquid phase irrespectively of the Ge concentration and temperature considered. Likewise, the melting temperature of SiGe alloys decreases with the Ge concentration, i.e. from the one of Si (1414°C) down to the one of Ge (938 °C).

Figure I.10: Binary phase diagram of the Si-Ge system [Ozguven, 2008]

(35)

28

The lattice parameter difference between the Si substrate and the SiGe layer will lead to a tetragonal distortion of the SiGe lattice. During the heteroepitaxy of “thin” SiGe layers, the in-plane lattice parameter of SiGe is the one of the substrate (aSi). To keep a constant lattice

volume, the perpendicular lattice parameter of the layer +95 will increase and the in-plane lattice parameter shrinks (compared to the bulk SiGe lattice parameter).

In this case, the SiGe layer is compressively strained (aSiGe > aSiGe// = aSi) and there is an accumulation of elastic energy in the deposited layer, expressed as follow:

15D 0E. = 12 3456.F G+HI+H

+,H

Eq. I.8

Where Eelast. is the elastic energy, tdep. is the layer thickness, σ is the strain and ε the stress of

the layer.

For high Ge contents or growth temperatures, the minimization of the elastic energy accumulated in the SiGe layer can lead to the formation of ripples on the surface. This occurs when the SiGe layer thickness deposited is thicker than the so-called critical thickness for

elastic relaxation.

When the strain accumulation within the layer is too high, it will be minimized with the introduction of misfit dislocations [Fitzgerald, 1997]. If the layer thickness is further increased the density of MDs at the interface increases and consequently the degree of strain relaxation. The critical thickness for plastic relaxation, quoted hc, can be calculated using the model of

Matthews and Blakeslee [Matthews, 1974]. In this model, a balance of the strain energy relaxed by the introduction of misfit dislocations with the self-energy of the dislocation has been considered. hc is given by:

L = 8Q. 1 8 . I. MNOR ln . 1 8. MNO P '. ℎL Eq. I.9

Where hc is the critical thickness for plastic relaxation, b is the magnitude of the misfit dislocation Burger’s vector (defined above in section I.2.b.ii), ν is the epitaxial layer’s Poisson

(36)

29

ratio and ε is the lattice mismatch strain between the epitaxial layer and the substrate. θ is the angle between the misfit dislocation line and the Burgers’ vector, while λ is the angle between the misfit dislocation’s Burgers vector and a line in the interface drawn perpendicular to the dislocation line direction. Finally, α is a factor related to the energy of the dislocation core. Other models have been proposed in the literature for hc. The People and Bean model [People,

1985] that is based on a localization of the dislocation self-energy in a finite space region:

ℎL = 1,9. 10 T Å

U ln |4ÅℎL | Eq. I.10

With hc the critical thickness for plastic relaxation, f is the lattice mismatch between the epitaxial layer and the substrate, i.e. U = V ()WXT ()

() Y ≈ 0,042; where x is the Ge content.

Figure I.11: (Color online) People and Bean (dotted line) and experimental critical thickness for plastic relaxation inferred from XRD (solid line with arrow) and AFM (solid line without arrow)

measurements performed on various thickness and Ge content SiGe layers. The solid squares correspond to layers seen as fully strained both in XRD and in AFM (i.e., no “plow” lines on the

smooth, featureless surfaces). The open, crossed squares between the two solid lines (i.e., in the hatched region) correspond to layers seen as fully strained in XRD but plastically relaxed in AFM

(37)

30

(i.e., with a limited number of “plow” lines on the surface). The open, crossed squares above the solid line with arrow correspond to layers seen as plastically relaxed both in XRD and in AFM.

[Hartmann, 2011]

hc, was recently revisited by Hartmann et al. [Hartmann, 2011]. It was evidenced that the

plastic strain relaxation was more gradual and less complete in higher Ge content layers grown at lower temperatures. For instance, the critical thickness for plastic relaxation inferred from XRD was, for Ge content 22% and above, approximately two times higher than predicted by the People and Bean theory. Those results are summarized in Figure I.11.

I.3.b – (Si)GeSn alloys

I.3.b.i Lattice parameter

Tin (Sn) is the 49th most abundant elements and has the largest number of stable isotopes (10 stable isotopes) in the periodic table. In its semiconducting phase, α-Sn is very handy and useful for optical purposes, thanks to a nil bandgap. α-Sn (or Grey Tin) is indeed the only one element in group IV semiconductors with a direct band gap (lowest transition in Γ valley). It is however still a semiconductor due to the presence of a finite bandgap at some momentum vector k. As silicon and germanium, α-Sn crystallize in the diamond phase, but its lattice parameter (a0 (α-Sn) = 6.489 Å) is 14% larger than that of Ge and 19% larger than that

of Si [Landolt, 2001].

(38)

31

Mixing Si, Ge and Sn in a (Si)GeSn alloy will thus induce strong band-gap modifications; built-in stress will also play a role. Alloying germanium and tin is indeed of great interest for optical purposes [Shaojian, 2011], [Wirths, 2015 - 2016]. Indeed, adding Sn to the Ge crystal lattice will shrink the bandgaps between the valence band and the Γ-valley and L-valley of the conduction band. This shrinking will be faster at the Γ-point, however (cf.

Figure I.12). This indirect-to-direct transition for relaxed GeSn binaries has been predicted to

occur at ∼20% Sn by Jenkins et al. [Jenkins, 1987], but more recent calculations indicate much lower Sn concentrations in the range of 6.5–11.0% [Gupta, 2013], [Lu, 2012]. Band calculation, taking into account the band bowing (non-linear interpolation of band-gap energies between Ge and Sn) has also predicted the indirect/direct crossover to occur at relatively low Sn contents, 10% [Alberi, 2008]. Recently, this transition from indirect to direct bandgap was demonstrated for a Sn content close to 12 % [Wirths, 2015] and the first optically pumped GeSn-based lasers were fabricated [Al-Kabi, 2016], [Wirths, 2015]. This point is illustrated in

Figure I.13 [Wirths, 2015], which shows the energy difference between the L and Г valleys in

the conduction band as a function of Sn concentration. The indirect-to-direct bandgap transition for unstrained GeSn layers is expected to be at ∼9% of Sn.

Figure I.13 : EL-EГ as a function of Sn concentration. This graph was extracted from [Wirths,

(39)

32

The lattice parameter of Ge1-xSnx alloys is also given to a first approximation by a linear

interpolation between the Ge and α-Sn lattice parameters:

95 = ; = 1 ; . 95 ;. \T = Eq. I.11

But, as for SiGe, this law is not accurate enough. In reality, a deviation from the straightforward Vegard’s law occurs:

95 = ; = 1 ; . 95 ;. \T = ;. 1 ; . 95 = Eq. I.12

x and bGeSn being the Sn concentration and bowing parameter, respectively.

Several discrepancies have been evidenced in the literature concerning this bowing parameter. Beeler et al. [Beeler, 2011] and Chizmeshya et al. [Chizmeshya, 2003] have theoretically calculated a small positive deviation from the Vegard’s law, namely bGeSn = 0.0468 Å and 0.063

Å, respectively. A small negative deviation of 0.066 Å was experimentally demonstrated, however [Beeler, 2011]. Chibane et al. [Chibane, 2010] have shown theoretically strong positive deviation that was confirmed by experiments, bGeSn = 0.65 Å. By contrast Kouvetakis

et al. [Kouvetakis, 2006] extracted a lower value of 0.166 Å. More recently, Gencarelli et al.

[Gencarelli, 2013], have proposed a new experimental bowing parameter for GeSn, bGeSn =

0.041 Å, resulting from a precise cross calibration for different Sn contents. The latter has been used in the following, giving then:

95 = ; = 5.65785 0.87215. ; 0.041. ;² Eq. I.13

As far as Ge1-x-ySiySnx, ternary alloys are concerned, the same Vegard-like approximation could

(40)

33

95 = ; = 1 ; . 95 . + ;. \T = Eq. I.14

A bowing parameter could be added, in a second phase:

95 = ; = 1 ; . 95 . + ;. \T =

;. . 1 ; . +95 =

Eq. I.14

For now, bowing parameters for such ternary alloys have not been reported in the literature.

I.3.b.ii - Physical properties

The thermodynamic diagram of the Ge-Sn and Si-Ge-Sn alloys are plotted in Figure

I.14 [Fleurial, 1990], [Kasper, 2012]. According to these graphics, incorporating high

concentrations of Sn in a Ge or a GeSi matrix is clearly difficult. The maximum Sn (Ge) concentration in Ge (Sn) at thermal equilibrium is very small, <1 % (<0.6%) [Kasper, 2012],

[Olesinski, 1984]. Above those values, the phase diagram predicts a two component mixture

(Ge + β-Sn). Likewise, above the melting point, i.e. 231 °C or 504 K, we are faced with a two phase mixture (solid Ge + liquid β-Sn). Below this temperature, two solid phases are created (body centered cubic β-Sn phase + diamond lattice Ge). Finally, as the solubility of Sn in Si is very low, ≤ 0.1 %, the solubility of Sn in SiGe alloys decreases significantly as the Si content increases.

(41)

34

Figure I.14: Phase diagram of the Ge–Sn (a)[Olesinski, 1984] and of the Si-Ge-Sn system [Fleurial, 1990].

Growth conditions far away the equilibrium, namely low temperatures and high (Si)GeSn growth rates, are thus required to obtain the high Sn concentrations targeted for (Si)GeSn components. Otherwise, Sn atoms will agglomerate to form Sn-rich GeSn clusters (Figure I.15), either on the surface (surface segregation) or in the volume (bulk precipitation). This surface segregation is a severe issue. Sn sub-surface atoms tend to change their position with Ge on the surface. Let us consider a constant surface energy independent from the surface coverage. Such a hypothesis is relatively acceptable up to 0.1 Monolayer (ML) coverage. It is

(42)

35

then possible to link the surface adatom concentration ns to the bulk concentration n (linear

segregation model) thanks to [Kasper, 2012]:

]0 = ∆0. ] Eq. I.15

∆0 being the segregation length, which strongly depends on the growth temperature and on the

growth rate. The higher the growth temperature and the lower the growth rate are, the higher ∆0 will be, resulting in a severe Sn surface segregation. In other words, the exchange rate

between Sn sub-surface atoms and Ge on surface will be faster at high temperature and the time slot for that exchange longer for low GeSn growth rates. Sn surface segregation is more likely to occur during growth while post-annealing will promote Sn precipitation. During the epitaxy step, it will thus be mandatory to carefully chose the growth temperature and precursor mass-flows in order to minimize or altogether avoid such phenomena.

Figure I.15: Atomic Force Microscopy image of a GeSn layer grown at 325 °C, with the presence of Sn rich bubbles.

I.3.b.iii - Relaxation mechanisms

As in the pure Si - pure Ge system, plastic strain relaxation in (Si)GeSn alloys grown on pure Ge occurs mostly through the formation of a dense array of edge dislocations at the interface. Threading dislocation will still be present, nevertheless. This was confirmed in [Von

(43)

36

den Driesch, 2015] where a thick GeSn 12.5% layer (~414 nm) was grown on top of a Ge

virtual-substrate (~2.5 µm). All defects were located close to the GeSn/Ge SRB interface. The strain generated by the lattice parameter mismatch, relaxes through a pure edge misfit dislocation (MD) network, as shown in Figure I.16 (e), (f) and (g).

Figure I.16 : [Von den Driesch, 2015] cross-sectional Transmission Electron Microscopy images of a thick GeSn 12.5% layer on a Ge SRB: (a) overview, (b) surface, (c) and (d) crystallinity and diffraction pattern from the layer volume, (e) interface between GeSn and Ge

Virtual Substrate, and (g) Burgers’ vector associated with a Lomer (or pure edge) dislocation.

As demonstrated by Al-Kabi et al. [Al-Kabi, 2016], growing thick, really high Sn content GeSn layers is very challenging. Sn enrichment occurs after the onset of plastic relaxation, creating two distinct layers:

(i) A lower Sn content GeSn layer which is highly defective (close to the Ge Strain Relaxed Buffer layer), i.e. with a high density of misfit dislocations.

(ii) A higher Sn content GeSn layer which is far less defective.

To obtain a defect-free, dislocation-free and fully strained GeSn layer on a Ge SRB, one should stay below the critical thickness for plastic relaxation. The higher the Sn concentration is, the higher the mismatch will be and the lower hc will be then. The evolution of the critical

(44)

37

thickness with the Ge content is well known for the SiGe system. It is however rather obscure for (Si)GeSn alloys grown on Ge SRBs. As a first approximation the critical thickness can be calculated, for different Sn concentrations, using the model (Eq. I.9) of Matthews and Blakeslee

[Matthews, 1974]. This model is based on force equilibrium on pre-existing dislocations and

should yield results close to experimental data:

ℎL = `a.b_ gh cde iTcde f ln V*.j_kY

Where ε is calculated through: I = WX(lm T WXm WX(lm

ν is the Poisson Ratio which was calculated as the linear interpolation between that of Ge

[Wortman, 1965] and that of Sn, using the elastic constants values for Sn : C11 = 72.5 GPa and

C12 = 29.7 GPa [Shen, 1994]. B refers to the Burger’s vector magnitude (~4Å), λ is the angle

between Burger’s vector and the direction in the interface perpendicular to the dislocation line. Β is the angle between the dislocation line and its Burger’s vector. For 60 ° dislocations, cos β = cos λ = ½.

Several other models have been proposed during the last century. The People and Bean model (well-known for the SiGe system), compares the elastic energy stored by the stressed layer and the energy for dislocation nucleation. It would be applicable for substrates which are totally dislocation-free (which is not the case when growing on Ge SRBs, which have a Therading Dislocations Density typically around 107 cm-2):

L ~ o1 8p o1 8 1 16Q√2p r

²

95 =s t u

1

I² ln oℎLpv Eq. I.16 Maree et al. [Maree, 1987] have also proposed a model based on energy minimization inside the epitaxial layer. Here the critical thickness would be inversely proportional to the misfit parameters square (ε):

(45)

38

We have thus plotted, in Figure I.17, the critical thicknesses calculated as function of Sn concentration for the three last models. Data points coming from recent papers [Baghvara,

2013], [Gencarelli, 2013], [Gurdal, 1998], [Wang, 2013] have been added to the figure.

Figure I.17 : Critical thickness (hc) functions of the Sn concentration (in the 1-20% range) for GeSn layers grown on Ge.

The experimental values of hc for GeSn on Ge depends on the accuracy of the methods used to

measure strain and composition and on growth conditions. For instance, High Resolution –X-Ray Diffraction and Reciprocal Space Mappings can hardly detect plastic strain relaxation when the Degree of Strain Relaxation is less than 1%. Temperature will also have an impact on the critical thickness, as evidenced in [Hartmann, 2011] for the SiGe system. Those points most likely explain the large discrepancies highlighted in Figure I.17. We can point out that thicknesses above which GeSn layers grown on Ge Virtual substrates by Chemical Vapor Deposition [Gencarelli, 2013] are slightly lower than those associated with GeSn layers grown directly on bulk Ge substrates by Molecular Beam Epitaxy [Wang, 2013]. The People and Bean’s theory still seems to provide the most accurate hc values, while the Maree and MB

(46)

39

I.4 – Conclusion

Chapter I was dedicated to a global epitaxy overview. Several concepts have been introduced, such as critical thickness for elastic and plastic relaxation, crystalline defects, surface energy and 2D/3D growth modes. The physical and chemical properties of SiGe and (Si)GeSn alloys have been also presented. Lattice parameter values, crystallographic structures and alloy miscibility have also been provided.

The very low solid solubility of Sn in Ge (<1%), the low thermal stability of (Si)GeSn alloys and the large lattice parameter mismatch between Sn and Ge or Si are indeed issues we have to overcome to grow high Sn content GeSn layers. In the following chapters, the Sn content aimed for will be as high as 10%. This concentration, which is well above the solid solubility, required conditions far away from thermodynamic equilibrium. That is why we will use low temperature Chemical Vapor deposition processes with the highest GeSn growth rates possible. The concept of crystalline defects discussed in the first part of this chapter will also be important to grow thick, partially relaxed GeSn layers.

(47)

40

References of the Chapter I

[Alberi, 2008] K. Alberi, J. Blacksberg, L.D. Bell, S. Nikzad, K.M. Yu, O.D. Dubon and W.

Walukiewicz, Band anticrossing in highly mismatched SnxGe1-x semiconducting alloys, Phys.

Rev. B 77 (2008) 073202.

[Al-Kabi, 2016] S. Al-Kabi, S.A. Ghetmiri, J. Margetis, T. Pham, Y. Zhou, W. Dou, B. Collier,

R. Quinde, W. Du, A. Mosleh, J. Liu, G. Sun, R. A. Soref, J. Tolle, B. Li, M. Mortazavi, H. A. Naseem, and S.-Q. Yu, Appl. Phys. Lett. 109 (2016) 171105.

[Baghvara, 2013] N. Bhargava, M. Coppinger, J.P. Gupta, L. Wielunski, and J. Kolodzey,

Lattice constant and substitutional composition of GeSn alloys grown by molecular beam epitaxy, Appl. Phys. Lett. 103 (2013) 041908.

[Bedell, 2004] S. W. Bedell, K. Fogel, and D. K. SadanaH. Chen and A. Domenicucci,

Observation of stacking faults in strained Si layers, Appl. Phys. Lett. 85 (2004) 2493.

[Beeler, 2011] R. Beeler, R. Roucka, A. V. G. Chizmeshya, J. Kouvetakis, and J. Menendez,

Nonlinear structure-composition relationships in the Ge1-ySny/Si(100) (y < 0.15) system, Phys.

Rev. B, 84 (2011) 035204.

[Callister, 2005] W. D. Jr. Callister, Fundamentals of Materials Science and Engineering, John

Wiley & Sons, Inc. Danvers, MA. (2005).

[Chibane, 2010] Y. Chibane and M. Ferhat, Electronic structure of SnxGe1-x alloys for small Sn compositions: Unusual structural and electronic properties, J. Appl. Phys., 107 (2010)

053512.

[Chizmeshya, 2003] A. V. G. Chizmeshya, M. R. Bauer, and J. Kouvetakis, Experimental and

theoretical study of deviations from Vegard's law in the SnxGe1-x system, Chem. Mater., 15

(2003) 2511.

[Dismukes, 1964] J.P. Dismukes, L. Ekstrom, E.F. Steigmeier, I. Kudman and D.S. Beers,

Thermal and Electrical Properties of heavily Doped Ge-Si Alloys up to 1300K, J. Appl. Phys.

35 (1964) 2899.

[Fitzgerald, 1997] E. A Fitzgerald, Influence of strain on semiconductor thin film epitaxy,

(48)

41

[Fleurial, 1990] J. P. Fleurial and A. Borshchevsky, Si-Ge-Metal Ternary Phase Diagram

Calculations, J. of The Electroch. Society, 137 (1990) 2928.

[Gencarelli, 2013] F. Gencarelli, B. Vincent, J. Demeulemeester, A. Vantomme, A. Moussa,

A. Franquet, A. Kumar, H. Bender, J. Meersschaut, W. Vandervorst, R. Loo, M. Caymax, K. Temst, and M. Heynsa, Crystalline Properties and Strain Relaxation Mechanism of CVD

Grown GeSn, ECS J. of Sol. State Sci. and Technol. 2 (4) (2013) 134.

[Grundmann, 2006] M. Grundmann, The Physics of Semiconductors, Springer-Verlag Berlin

Heidelberg (2006).

[Gupta, 2013] S. Gupta, B. Magyari-Köpe, Y. Nishi and K.C. Saraswat, Achieving direct band

gap in germanium through integration of Sn alloying and external strain. J. Appl. Phys. 113

(2013) 073707.

[Gurdal, 1998] O. Gurdal, P. Desjardins, J.R.A Carlsson N. Taylor, H. H. Radamson, J.E.

Sundgren and J. E. Greene,Low-temperature growth and critical epitaxial thicknesses of fully strained metastable Ge1-xSnx (x

0.26) alloys on Ge(001) 2×1, J. Appl. Phys. 83 (1998) 162.

[Halbwax, 2005] M. Halbwax, D. Bouchier, V. Yam, D. Débarre, L.H. Nguyen, Y. Zheng, P.

Rosner, M. Benamara, H. P. Strunk, and C. Clerc, Kinetics of Ge growth at low temperature on

Si(001) by ultrahigh vacuum chemical vapor deposition, J. of Appl. Phys. 97 (2005) 064907.

[Hartmann, 2007a] J.M. Hartmann, A. Abbadie, Y. Guinche, P. Holliger, G. Rolland, M.

Buisson, C. Defranoux, F. Pierrel and T. Billon, Tensile-strained Si layers grown on Si0.6Ge0.4 and Si0.5Ge0.5 virtual substrates: I. Film thickness and morphology, Semicond. Sci. Technol. 22

(2007) 354.

[Hartmann, 2007b] J.M. Hartmann, A. Abbadie, D. Rouchon, M. Mermoux and T. Billon,

Tensile-strained Si layers grown on Si0.6Ge0.4 and Si0.5Ge0.5 virtual substrates: II. Strain and defects, Semicond. Sci. Technol. 22 (2007) 362.

[Hartmann, 2008] J.M. Hartmann, A.M. Papon, V. Destefanis, T. Billon, Reduced pressure

chemical vapor deposition of Ge thick layers on Si(0 0 1), Si(0 1 1) and Si(1 1 1), J. Cryst.

Growth 310 (2008) 5287.

[Hartmann, 2009] J.M. Hartmann, A. Abbadie, N. Cherkashin, H. Grampeix and L. Clavelier,

Epitaxial growth of Ge thick layers on nominal and 6° off Si(0 0 1); Ge surface passivation by Si, Semicond. Sci. and Technol. 24 (2009) 055 002.

(49)

42

[Hartmann, 2010] J.M. Hartmann, A. Abbadie, J.P. Barnes, J.M. Fédéli, T. Billon and L.

Vivien, Impact of the H2 anneal on the structural and optical properties of thin and thick Ge

layers on Si; Low temperature surface passivation of Ge by Si, J. Cryst. Growth 312 (2010)

532.

[Hartmann, 2011] J. M. Hartmann, A. Abbadie and S. Favier, Critical thickness for plastic

relaxation of SiGe on Si(001) revisited, J. Appl. Phys. 110 (2011) 083529.

[Hirashita, 2005] N. Hirashita, N. Sugiyama, E. Toyoda, and S.I. Takagi, Relaxation processes

in strained Si layers on silicon-germanium-on-insulator substrates, Appl. Phys. Lett. 86 (2005)

221923.

[Hull, 1991] R. Hull, J. C. Bean, L. Peticolas, Y. H. Xie and Y. F. Hsieh, Growth of GexSi1−X/Si Alloys on Si (100), (110) and (111) Surfaces. MRS Proceedings, 220 (1991) 153.

[Jenkins, 1987] D. Jenkins and J. Dow, Electronic properties of metastable GexSn1–x alloys.

Phys. Rev. B 36 (1987) 7994–8000.

[Kasper, 2000] E. Kasper and K. Lyutovich, Properties of silicon germanium and

SiGe:Carbon, INSPEC, The Institution of Electrical Engineers, London, UK (2000)

[Kasper, 2012] E. Kasper, J. Werner, M. Oehme, S. Escoubas, N. Burle and J. Schulze, Growth

of silicon based germanium tin alloys, Thin Sol. Films 520 (2012) 3195.

[Kimura, 2006] Y. Kimura, N. Sugii, and S. Kimura K. Inui and W. Hirasawa, Generation of

misfit dislocations and stacking faults in supercritical thickness strained-Si/SiGe heterostructures, Appl. Phys. Lett. 88 (2006) 031912.

[Kouvetakis, 2006] J. Kouvetakis, J. Menendez, and A. V. G. Chizmeshya, Tin-based group

IV semiconductors: New platforms for opto- and microelectronics on silicon, Annu. Rev.

Mater. Res. 36 (2006) 497.

[Landolt, 2001] Group IV Semiconductors, Landolt-Börnstein, New Series (Ed. O.Madelung)

III, Vol. 41A, Springer Verlag, Berlin (2001).

[Lu, 2012] K. Lu Low, Y. Yang, G. Han, W. Fan and Y. Yeo, Electronic band structure and

(50)

43

[Marée, 1987] P.M.J. Marée, J.C. Barbour, J.F. van der Veen, K.L. Kavanagh, C.W.T. Bulle‐

Lieuwma and M.P.A. Viegers, Generation of misfit dislocations in semiconductors, J. Appl. Phys. 62 (1987) 4413.

[Mason, 1958] W. P. Mason, Physical Acoustics and the Properties of Solids (D. Van Nostrand

Company, New York, 1958)

[Matthews, 1974] J. W. Matthews and A. E. Blakeslee, Deffect in epitaxial multilayer . 1 .

Misfit dislocations, J. Crys. Growth, 27 (1974) 118.

[McSkimin, 1953] H. J. McSkimin, Measurement of elastic constants at low temperatures by

means of ultrasonic waves-data for silicon and germanium single crystals, and for fused silica,

J. Appl. Phys. 24, 988 (1953).

[Olesinski, 1984] R. W. Olesinski and G. J. Abbaschian, The Ge-Sn (Germanium-Tin) system,

Bulletin of Alloy Phase Diagrams, 5 (1984) 265.

[O’Reilley, 1988] E.P. O’Reilly, Valence band engineering in strained-layer structures,

Semicond. Sci Technol. 4 (1989) 121.

[Ozguven, 2008] N. Ozguven and P.C. McIntyre, Selective Oxidation of SiGe Alloys: A Route

to Ge-on-Insulator Structures with Controlled Biaxial Strain, Electrochem. and Sol. Stat. Lett.,

11 (2008) H138.

[People, 1985] R. People and J. C. Bean, Calculation of critical layer thickness versus lattice

mismatch for GexSi1−x /Si strained

layer heterostructures, Appl. Phys. Lett. 47 (1985) 322.

[Shaojian, 2011] S. Shaojian, C. Buwen, X. Chunlai, W. Wei , C. Quan, X. Haiyun, H.

Weixuan, Z. Guangze, Z. Yuhua, W. Qiming, GeSn p-i-n photodetector for all

telecommunication bands detection, Optics Express 19 (2011) 6400.

[Shen, 1994] S.G. Shen, Calculation of the elastic properties of semiconductors, Journal of

Physics: Condensed Matter 6 (1994) 8733

[Smaminathan, 1993] V. Smaminathan, A.S. Jordan, Dislocations in III/V Compounds

Semicond. Semimet. 38, 294 (1993)

[Teal, 1951] G. Teal and H. Christensen, Method of Fabricating Germanium Bodies, U. S.

(51)

44

[Von den Driesch, 2015] N. von den Driesch, D. Stange, S. Wirths, G. Mussler, B. Hollander,

Z. Ikonic, J. M. Hartmann, T. Stoica, S. Mantl, D. Grützmacher, and D. Buca, Direct Bandgap

Group IV Epitaxy on Si for Laser Applications, Chem. Mater. 27 (2015) 4693

[Wang, 2013] W. Wang, Q. Zhou, Y. Dong, E.S. Tok and Y.C. Yeo, Critical thickness for

strain relaxation of Ge1− x Snx (x ≤ 0.17) grown by molecular beam epitaxy on Ge(001), Appl.

Phys. Lett. 106 (2015) 232106

[Wirths, 2015] S. Wirths, R. Geiger, N. von den Driesch, G. Mussler, T. Stoica, S. Mantl, Z.

Ikonic, M. Luysberg, S. Chiussi, J. M. Hartmann, H. Sigg, J. Faist, D. Buca and D. Grützmacher, Lasing in direct-bandgap GeSn alloy grown on Si, Nat. Photonics 9 (2015) 88.

[Wirths, 2016] S. Wirths, D. Buca and S. Mantl, Si-Ge-Sn alloys: From growth to applications,

Progress Cryst. Growth Char. Mat. 62 (2016) 1.

[Wortman, 1965] J. J. Wortman and R. A. Evans, Young's Modulus, Shear Modulus, and

(52)
(53)
(54)

47

CHAPTER II: FROM GROWTH TO

CHARACTERIZATION

(55)

48

CONTENTS OF CHAPTER II

II.1 - Introduction ... 49 II.2 - Chemical Vapor Deposition ... 50 II.2.a - Surface Preparation ... 50 II.2.b – Surface reconstruction ... 52 II.2.c - Epi-Centura 5200 ... 53 II.2.c.i - The Mainframe ... 53 II.2.c.ii - The epitaxy chamber ... 57 II.2.d - CVD Mechanisms... 59 II.3 - Sample Characterizations ... 60 II.3.a - Morphological Characterizations ... 61 II.3.a.i - Grazing Light ... 61 II.3.a.ii - Atomic Force Microscopy ... 61 II.3.b – Layer Composition / Thickness and Crystallographic Quality ... 63 II.3.b.i – Differential Weighting ... 63 II.3.b.ii – Four Points Probe Measurements ... 63 II.3.b.iii – X-Ray Diffraction ... 65 Introduction ... 65 Description of the Panalytical High-Resolution X-Ray Diffractometer ... 67 Experimental measurements techniques ... 70 Measurements around symmetric reflections: ... 73 Measurements around asymmetric reflections: ... 76 Reciprocal space mapping: ... 79 II.3.b.iv – X-Ray Reflectivity ... 80 II.4 – Conclusions ... 82

Références

Documents relatifs

En conclusion le terrain d’étude est subdivisé en 3 zones, deux entre eux forment un gisement de bonne qualité pour la fabrication du verre qu’ils s’agissent

des alliages doit être maîtrisée pour obtenir un matériau de qualité. Lorsque les paramètres de maille de deux matériaux sont identiques, il est possible de faire croître

Ontologie des caractères phénotypiques des animaux de rente Jusqu’à présent, plus de 1600 caractères ont été définis avec une organisation hiérarchique dans différents

1 Zakya Daoud,&#34;Maroc :Les élections de 1997,&#34;Maghreb-Machrek,N°.. 154 ﺔﻋﺎﻤﺟ ﻞﺜﻣ ﺢﻠﺴﻤﻟا ﻒﻨﻌﻟا بﻮﻠﺳأ جﺎﮭﺘﻧا لﻼﺧ ﻦﻣ مﺎﻈﻨﻟا ﺐﻠﻗ ﻰﻟإ فﺪﮭﺗ

ّلاإ ءارقتسلااو سايقلا نيب ةريبكلا تافلاتخلاا مغر رور ّضلاب عنمي لا كلذ ّنأ ة يف امهلماكت نم ّدمي يكل ءارقتسلاا ىلإ ةجاح يف سايقلا&#34; ّنلأ ريكفتلا

‫و ﻫذا اﻟﺗراﺟﻊ أدى إﻟﻰ إﻓﻼس اﻟﻌدﯾد ﻣن اﻟﺷرﻛﺎت‪ ،‬و ﻫذا ﻣﺎ ﺣدث ﺧﻼل اﻷزﻣﺔ اﻟﻣﺎﻟﯾﺔ‬ ‫‪ 2008-2007‬ﺣﯾث أدى ﺗراﺟﻊ أﺳﻌﺎر اﻟﻌﻘﺎرات إﻟﻰ ﻋزوف اﻟﻌدﯾد ﻣن

L’archive ouverte pluridisciplinaire HAL, est destinée au dépôt et à la diffusion de documents scientifiques de niveau recherche, publiés ou non, émanant des

D’après les résultats de cette analyse de risque, toutes les impuretés élémentaires indésirables sont dans les normes ; autrement dit se situe en dessus des