• Aucun résultat trouvé

Utilisation de substrats sSOI à film mince contraint

Des substrats présentant une forte contrainte mécanique en tension ont été développés depuis quelques années dans le but d’améliorer les performances des transistors nMOS [Ghyselen ’04]. Ce sont des substrats de silicium sur isolant appelés sSOI (pour Strained Silicon On Insulator). L’utilisation de substrats sSOI permet d’obtenir un gain d’environ 35 % sur le courant ISAT des nMOS, tout en ayant une faible influence sur les performances des pMOS [Yin ’06] [Andrieu ’07] [Mazurier ’10]. Ce gain peut d’ailleurs atteindre 100 % dans le cas des transistors à canaux étroits (ISAT=1200µA/µm pour IOFF=10nA/µm et VDD=0.9V) comme le montre la Figure 27 (a). Ceci peut s’expliquer par une transformation de la contrainte biaxiale tensile en une contrainte uniaxiale longitudinale dans le sens de la longueur de grille, très favorable aux nMOS d’après les valeurs des coefficients piézorésistifs [Dorda ’71]. Cependant, cette contrainte entraîne une diminution de la tension de seuil des nMOS. Ceci est dû à une levée de la dégénérescence des vallées Ʃ2 de la bande de conduction et à la dégénérescence entre les bandes des trous lourds et des trous légers. La diminution, d’une part, de la masse effective de conduction des électrons et, d’autre part, des interactions avec les phonons inter-vallées dans la bande de conduction permet aussi un gain sur la mobilité des porteurs majoritaires [Fischetti ’02] [Gallon ’06]. Une réduction de la tension VTn d’environ 120mV est observée sur les dispositifs à canal long, alors que VTp reste sensiblement le même.

La Figure 27 (b) montre que la variabilité locale de la tension de seuil n’est pas dégradée par l’utilisation des substrats sSOI (AƩVT=1.15mV.µm). Ceci indique que les variations locales de contraintes ont une influence négligeable sur la variabilité locale de la tension de seuil.

ƒ‰‡ͷͷ -11 -10 -9 -8 -7 -6 0 250 500 750 1000 1250 IOF F (A m ) ISAT(µA/µm) +100% Ouvert : W=80nm sSOI +35% Fermé : W=0.5µm SOI a) |VD|=0.9V 0 5 10 15 20 25 0 5 10 15 20 1/(L.W)1/2(µm-1) nMOS E c a rt t y p e ıǻV T (m V ) AǻVT=1.15mV.µm VD=50mV sSOI SOI (µm-1) b)

Figure 27 : (a) Caractéristique ISAT (IOFF) présentant le gain en performance obtenu grâce au sSOI par rapport au SOI et (b) comparatif du mismatch entre sSOI et SOI [Mazurier ’10]

2.5. Conclusion

L’ajustement du VT des transistors est un paramètre crucial dans le développement d’une plateforme technologique. Or, il n’est pas envisagé de doper le canal des dispositifs FDSOI afin de conserver le bon appariement. D’autres solutions sont par conséquent indispensables.

Le BP implanté sous l’oxyde enterré mince (inférieur à 50nm) peut être utilisé comme une seconde grille. En appliquant une polarisation sur celui-ci, la tension de seuil des transistors peut être ajustée très précisément. La variabilité stochastique du VT n’est pas impactée par les fluctuations d’épaisseur du BOX (ıTBOX) et du dopage des BP (ıNBP). L’utilisation d’une polarisation VB comprise entre –VDD et +VDD n’induit pas de dégradation significative des écarts types, quel que soit le type de transistor (nMOS ou pMOS) et de plan de masse utilisé (BP-n ou BP-p).

Le métal de grille peut également être modifié afin de rechercher des travaux de sorties plus ou moins éloignés du mid-gap. Différentes solutions sont possibles, comme par exemple l’ajustement de l’épaisseur du TiN [Fenouillet ’09b], le remplacement de ce matériau par une combinaison de couches de TaAlN et de TaN [Weber ’10] ou par l’introduction d’une couche d’Al2O3. En revanche, nous avons vu expérimentalement que la modification de l’empilement de grille joue au premier ordre sur le désappariement de la tension de seuil. Il est donc indispensable de s’assurer que celui-ci n’a pas une influence préjudiciable sur la variabilité des dispositifs.

Finalement, les substrats sSOI fortement contraints en tension dans les directions longitudinale et transverse permettent non seulement d’améliorer les performances ISAT/IOFF des transistors nMOS (par rapport aux mêmes dispositifs réalisés sur un substrat SOI), mais également de réduire la tension de seuil VTn d’environ 120mV. La variabilité stochastique du VT est quant à elle maintenue à 1.15mV.µm.

Suite aux modulations de VT et de ıƩVT que nous avons observées dans ce chapitre sur différentes variables technologiques, l’objet du chapitre suivant va être d’analyser leurs impacts sur la variabilité des caractéristiques électriques de cellules SRAM-6T.

ƒ‰‡ͷ͸

2.6. Références bibliographiques

[Andrieu ’07] F. Andrieu, O. Faynot, F. Rochette et al., “Impact of Mobility Boosters (XsSOI, CESL,TiN Gate) on the Performance of <100> or <110> oriented FDSOI cMOSFETs for the 32nm Node”, IEEE Symposium on VLSI Technology, 2007, p. 50-51.

[Barraud ’08] S. Barraud, O. Bonno, M. Cassé, “The influence of Coulomb centers located in HfO2/SiO2 gate stacks on the effective electron mobility”, Journal of Applied Physics, Vol. 104, N° 7, 2008, p. 073725-(1-10)

[Dorda ’71] G. Dorda, “Piezoresistance in Quantized Conduction Bands in Silicon Inversion Layers”, Journal of Applied Physics, Vol. 42, N° 5, 1971, p. 2053-2060.

[Faynot ’10] O. Faynot, F. Andrieu, O. Weber et al., “Planar Fully Depleted SOI Technology: a powerful architecture for the 20nm node and beyond”, IEEE Symposium on VLSI Technology, 2010, p. 50-53.

[Fenouillet ’09b] C. Fenouillet-Beranger, P. Perreau, L. Pham-Nguyen et al., “Hybrid FDSOI/Bulk high-k/Metal gate platform for Low Power (LP) multimedia technology”, IEEE International Electron Device Meeting (IEDM) Technical Digest, 2009, p. 667-670.

[Fischetti ’02] M. V. Fischetti, F. Gamiz et W.Hänsch, “On the enhanced electron mobility in strained-silicon inversion layers”, Journal of Applied Physics, Vol. 92, N° 12, 2002, p. 7320-7324.

[Gallon ’06] C. Gallon, C. Fenouillet-Beranger, A. Vandooren et al., “Ultra-Thin Fully Depleted SOI Devices with Thin BOX, Ground Plane and Strained Liner Booster”, IEEE International SOI Conference, 2006, p. 17-18.

[Ghyselen ’04] B. Ghyselen, J.-M. Hartmann, T. Ernst et al., “Engineering strained silicon on insulator wafers with the Smart CutTM technology”, Solid-State Electronics, Vol. 48, N° 8, 2004, p. 1285-1296.

[Ishigaki ’08] T. Ishigaki, R. Tsuchiya, Y. Morita et al., “Silicon on Thin BOX (SOTB) CMOS for Ultralow Standby Power with Forward-biasing Performance Booster”, European Solid State Device Research Conference (ESSDERC), 2008, p. 198-201.

[Linder ’07] B.P. Linder, V. Narayanan, V.K. Paruchuri et al., “Gate First PFET Poly-Si/TiN/Al2O3 Gate Stacks with Inversion Thickness Less than 15Å for High Performance or Low Power CMOS Applications”, International Conference on Solid State Devices and Materials (SSDM), 2007, p. 16-17.

[Mazurier ’10] J. Mazurier, O. Weber, F. Andrieu et al., “High Performance and Low Variability Fully-Depleted Strained-SOI MOSFETs”, IEEE International SOI Conference, 2010, p. 46-47.

[Mazurier ’11a] J. Mazurier, O. Weber, F. Andrieu et al., “Ultra-Thin Body and Buried Oxide (UTBB) FDSOI technology with low variability and power management capability”, VARI, 2011.

[Mazurier ’11b] J. Mazurier, O. Weber, F. Andrieu et al., “On the varaibility in Planar FDSOI Technology: From MOSFETs to SRAM cells”, IEEE Transactions on Electron Devices, Vol. 58, N° 8, 2011, p. 2326-2336.

[Morooka ’08] T. Morooka, “VT Variation Suppressed Al2O3 Capped HfO2 Gate Dielectrics for Low Vt pMOSFETswith High-k/Metal Gate Stacks”, Proceedings of the International Conference on Solid State Devices and Materials (SSDM), 2008, p. 24-25.

ƒ‰‡ͷ͹

[Mukhopadhyay ’08] S. Mukhopadhyay, H. Mahmoodi et K. Roy, “Reuction of Parametric Failures in Sub-100-nm SRAM Array Using Body Bias”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 27, N° 1, Janvier, 2008, p. 174-183.

[Noel ’09] J.-P. Noel, O. Thomas, C. Fenouillet-Beranger et al., “A simple and efficient concept for setting up multi-VT devices in thin BOX fully-depleted SOI technology”, European Solid State Device Research Conference (ESSDERC), 2009, p. 137-140.

[Noel ’10] J.-P. Noel, O. Thomas, M.-A. Jaud et al., “UT2B-FDSOI device architecture dedicated to low power design techniques”, European Solid State Device Research Conference (ESSDERC), 2010, p. 210-213.

[Noel ’11] J.-P. Noel, O. Thomas, M.-A. Jaud et al., “Multi-VT UTBB FDSOI Device Architectures for Low Power CMOS Circuit”, IEEE Transactions on Electron Devices, Vol. 58, N° 8, 2011, p. 2473-2482.

[Saito ’03] S. Saito, D. Hisamoto, S. Kimura et al., “Unified mobility model for high-k gate stacks”, IEEE International Electron Devices Meeting, 2003, p. 33.3.1-33.3.4.

[Saito ’04] S.-I. Saito, K. Torii, Y. Shimamoto et al., “Effects of remote-surface-roughness scattering on carrier mobility in field-effect-transistors with ultrathin gate dielectrics”, Applied Physics Letters, Vol. 84, N° 8, 2004, p. 1395-1397.

[Sathanur ’09] A. Sathanur, A. Pullini, L. Benini et al., “Physically clustered forward body biasing for variability compensation in nanometer CMOS design”, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2009, p. 154-159.

[Signanamalla ’06] R. Signanamalla, H. Y. Yu, G. Pourtois et al., “On the Impact of TiN Film Thickness Variations on the Effective Work Function of Poly-Si/TiN/SiO2 and Poly-Si/TiN/HFSiON Gate Stacks”, IEEE Electron Device Letters, Vol. 27, N° 5, Mai, 2006, p. 332-334.

[Singhal ’07] R. Singhal, A. Balijepalli, A. Subramaniam et al., “Modeling and analysis of non-rectangular gate for post-lithography circuit simulation”, IEEE Design Automation Conference (DAC), 2007, p. 823-828.

[Skotnicki ’08] T. Skotnicki, C. Fenouillet-Beranger, C. Gallon et al., “Innovative Materials, Devices, and CMOS Technologies for Low-Power Mobile Multimedia”, IEEE Transactions on Electron Devices, Vol. 55, N° 1, Janvier, 2008, p. 96-130. [Tschanz ’02] J. Tschanz, J. Kao, S. Narendra et al., “Adaptive Body Bias for Reducing

Impacts of Die-to-Die and Within-Die Parameter Variations on Microprocessor Frequency and Leakage”, IEEE International Solid-State Circuits Conference (ISSCC), 2002, p. 422-478.

[Tschanz ’03] J.W. Tschanz, S. Narendra, R. Nair et al., “Effectiveness of Adaptive Supply Voltage and Body Bias for Reducing Impact of Parameter Variations in Low Power and High Performance Microprocessors”, Journal of Solid-State Circuits, Vol. 38, N° 5, 2003, p. 826-829.

[Weber ’10] O. Weber, F. Andrieu, J. Mazurier et al., “Work-function Engineering in Gate First Technology for Multi-VT Dual Gate FDSOI CMOS on UTBOX”, IEEE International Electron Devices Meeting, 2010, p. 58-61.

[Yamashita ’00] T. Yamashita, N. Yoshida, M. Sakamoto et al., “A 450MHz 64b RISC Processor using Multiple Threshold Voltage CMOS”, IEEE International Solid-State Circuits Conference (ISSCC), 2000, p. 414-415, 475.

ƒ‰‡ͷͺ

[Yin ’06] H. Yin, Z. Ren, H. Chen et al., “Integration of Local Stress Techniques with Strained-Si Directly On Insulator (SSDOI) Substrates”, IEEE Symposium on VLSI Technology, 2006, p. 76-77.

ƒ‰‡ͷͻ

Chapitre 3

Etude de la variabilité des caractéristiques

électriques SNM et WM de cellules

mémoires SRAM en technologie FDSOI

ƒ‰‡͸Ͳ

3.1. Introduction

La variabilité des caractéristiques électriques des transistors MOS a une influence notable sur le rendement et donc sur la tension minimale d’alimentation des cellules mémoires SRAM. Ceci est un problème majeur car cette limitation en termes de VDD_MIN se répercute sur la puissance consommée du circuit et, de manière plus générale, sur celui de la puce électronique.

Ce chapitre a pour objectif d’étudier les corrélations existantes entre la variabilité des caractéristiques électriques des transistors et celles des SRAM-6T réalisées en technologie FDSOI. Pour cela, plusieurs types de dispositifs ayant différentes tensions de seuils et différents écarts types ıƩVT ont été sélectionnés. Un modèle analytique de variabilité développé durant la thèse sera utilisé afin de déterminer quel type de transistor présent dans ces cellules mémoires est le plus critique pour la variabilité de la stabilité en lecture. Finalement, nous verrons grâce à un modèle compact que la prise en compte de la variabilité de la tension de seuil est suffisante pour donner une assez bonne estimation de ıSNM en technologie FDSOI.

Documents relatifs