• Aucun résultat trouvé

I.3 Les solutions technologiques

I.3.3 Objectifs de la thèse

Les paragraphes précédents ont permis de mettre en évidence l’enjeu des interconnexions pour les circuits des futures générations (à partir de la technologie 90 nm). La réalisation de nouvelles architectures technologiques (structures damascènes), le développement de nouveaux matériaux augmentent les problèmes d’intégration. ST Microélectronics, en collaboration avec le CEA-Léti, a réalisé une sélection de diélectriques associés au cuivre pour les générations technologiques présentes et futures. Pour la génération 90 nm, le choix s’est porté sur le SiOCH (non poreux). Cependant, la gravure de ce matériau pose de nombreux problèmes technologiques par rapport aux diélectriques plus traditionnels. Parmi ceux-ci l’étape de gravure elle-même est

délicate puisque ces matériaux possèdent une densité plus faible que celle de SiO2 et sont moins

résistants à la gravure. Des défauts du type “bowing” (gravure concave des flancs) sont donc

fréquents et doivent être évités avant le remplissage ultérieur des structures par du métal. Ces défauts d’anisotropie (définie par 1-Vh/Vv ; où Vh est la vitesse de gravure horizontale et Vv la vitesse de gravure verticale, ici Vh≠0) ont été mis en évidence dans une précédente étude sur la

gravure de matériau purement organique (le SiLKTM commercialisé la société Dow Chemical)27.

Avec le développement des structures damascènes, d’autres problèmes liés à la sélectivité par

rapport aux masques (SiCH ou SiO2, Figure I- 6) et aux sous couches (SiCH) apparaissent

également avec les matériaux du type SiOCH. Ces problèmes, liés à la présence de carbone dans le

matériau, empêchent l’utilisation d’une chimie de gravure de l’oxyde telle que C4F8/O2/Ar. La

sélectivité par rapport aux sous couches du type SiCH constitue donc un problème particulièrement difficile à gérer. Par ailleurs, d’autres problèmes liés au nettoyage des structures après gravure et lors de l’étape de retrait de la résine se posent avec ces nouveaux matériaux. En effet, les plasmas à base d’oxygène entraînent désormais des modifications non négligeables de la constante diélectrique de SiOCH.

Pour les générations technologiques suivantes (nœuds technologiques 45 nm et 32 nm), le choix du matériau semble se porter sur un SiOCH poreux. Avec cette prochaine génération de matériaux

SiOCH poreux, la présence de pores dans le matériau entraîne la diffusion des espèces réactives

du plasma dans le volume de SiOCH, qui peut dégrader la valeur de la constante diélectrique de façon importante. Afin de diminuer la constante diélectrique finale, il est envisagé de supprimer la couche d’arrêt 1 de la structure dual damascène (Figure I- 6). Pour cela il est donc nécessaire d’avoir un bon contrôle de la gravure et un fond plan pour réussir un remplissage métallique. Cependant la présence de pores dans ces matériaux génèrent une rugosité de surface après gravure ;

il est donc nécessaire d’optimiser ces procédés de gravure pour obtenir une planarité de surface.

De plus, avec cette nouvelle génération de matériau, les étapes de nettoyage deviennent critiques

nécessitant de nouvelles approches afin d’optimiser le retrait des polymères formés sur les flancs

Au cours de cette étude, nous allons donc évaluer :

1) Les mécanismes de gravure d’un matériau de type SiOCH non poreux (Black

DiamondTM commercialisé par la société Applied Materials dont les propriétés

seront définies dans le chapitre suivant) dans un plasma fluorocarboné

(typiquement CF4/Ar) et l’évolution de la sélectivité vis à vis de matériaux

comme le SiO2 ou le SiCH. Cette qualification du procédé nous servira de base

pour le développement de chimie de gravure pour des structures avec motifs (niveau métal 1).

2) La modification de différents matériaux de type SiOCH poreux (déposés par

centrifugation) présentant une porosité variant entre 30% et 50% (ces matériaux, commercialisés par les sociétés JSR, Hitachi et Rohm and Haas seront détaillés dans le prochain chapitre). Nous évaluerons dans un premier temps l’impact de la

chimie de gravure (CF4/Ar) puis celui de la porosité sur leur modification. Cette

étape préliminaire permettra un développement plus adéquat de la chimie de gravure des structures.

3) L’impact de la modification des procédés de retrait de la résine par plasma à base

de chimies oxydantes et réductrices sur les diélectriques SiOCH poreux et non poreux. L’étude sera également abordée en analysant deux modes de générations

de plasma (MERIE : “Magnetically Enhanced Reactive Ion Etcher” et

Downstream : post-décharge micro-onde).

4) Ces premières évaluations étant réalisées sur des tranches sans motifs, il est

nécessaire d’appliquer ces résultats à la gravure de tranchées d’un niveau métal 1 de l’architecture d’un transistor. Les étapes de gravure et de retrait résine ont pour objectif de respecter le contrôle dimensionnel initial, c’est à dire la dimension des motifs fixée par l’étape de photolithographie. Enfin, des tests électriques viendront conclure cette étude.

Bibliographie du chapitre I

1 “International Technology Roadmap for semiconductors”

2003 Edition, Semiconductor industry Association, http://public.itrs.net/. 2 M. T. Bohr,

Interconnect scaling – the real limiter of high performance ULSI”, IEEE international” Electron Devices Society, pp 241-244, (1995). 3 W.W. Lee and P. S. Ho,

Low dielectric constant materials for ULSI interlayer dielectric applications”, MRS bulletin, vol. 22, pp 19-25 (october 1997).

4 K. YAMASHITA, S. Odanaka,

Interconnect scaling scenario using a chip level interconnect model”, IEEE Transactions on Electron Devices, vol 47, p 90-96 (2000).

5 S. Delmas-Bendhia, F. Caigner, E. Sicard, M. Roca,

On chip sampling in CMOS integrated circuits”,

IEE Transactions on Electomagnetic compatibility, Vol 41, p 403-406 (november 1999). 6 K. Maex, M.R. Baklanov, D. Shamiryan, F. Iacopi, S.H. Brongersma, Z.S.

Yanovitskaya,

Low dielectric constant materials for microelectronics”,

Journal of applied physics, N 11, Volume 93, p 8793-8841 (june 2003). 7 G.A. Walker, C.C. Goldshmith,

Precipitation and solid solution effects in aluminum-copper thin films and their influence on électromigration”.

Journal of applied physics, N 6, Volume 44, (1973). 8 B. Remiat,

“Couches minces diélectriques à faible et très faible permittivité destinées aux interconnexions des circuits intégrés -élaboration-caractérisation-intégration-”,

Thèse université de Monptellier (2003). 9 Q.S. Wang

Barriers against copper diffusion into silicon drift through silicon dioxide”, MRS bull, p 30, (august 1994).

10 S. Lee, S. Chun, C. Hwang, W. Lee,

Reactive Ion Etching Mechanism of Copper Film in Chlorine-based Electron Cyclotron Resonance Plasma

Jpn. J. Appl. Phys., Part 1 36, p 50 (1997). 11 J.B. Howard, C. Steinbruchel,

Reactive ion etching of copper in SiCl4-based plasmas” Appl. Phys. Lett 59, p 914 (1991).

12 G. C. Schwartz, P.M. Schaible,

Reactive ion etching of copper film”, J. Electrochem. Soc. 130, p1777 (1983).

13 Site internet : www.damascène.com

14 M. Assous, J. Simon, L. Broussous, C.Bourlot, M. Fayolle, O. Louveau,

Porous dielectric dual damascene patterning issue for 65 nm node : can architecture bring solution”,

Proceedings of International Interconnect Technology Conference (2003). 15 A.E. Braun,

Dielectric etch faces Material”,

Process choices, Semiconductor International, June 2004. 16 V. Arnal,

Intégration et caractérisation des performances de l’isolation par cavités des interconnexions en cuivre pour les technologies CMOS sub 90 nm”,

thèse université de Savoie(2002). 17 T.Homma,

Characteristics of SiOF Films Formed Using Tetraethylorthosilicate and Fluorotriethoxysilane at Room Temperature by Chemical Vapor Deposition”,

J electroch. Soc 143, 707 (1996). 18 V.Pankov, J.C. Alonso, A. Ortiz,

The effect of hydrogen addition on fluorine doping level SiOF films prepared by remote plasma enhanced chemical vapor deposition using SiF4 based plasma

Jpn J. Appl. Phys. 37, 6135-3141, (1998).

19 H. Treichel, G. Ruhl, P. Ansmann, R. Wurl, C. Muller, M. Dietleimer, “Low dielectric constant materials for interlayer dielectric”,

20 G. Passemard, P. Fugier, P. Noel, F. Pires, O. demolliens,

Study of fluorine and stability in fluoro silicate glass and effects on dielectric properties”,

Microelectron. Eng. Vol 33, p 335-342 (1997). 21 A. Grill and V. Patel,

Low dielectric constant films prepared by plasma-enhanced chemical vapor deposition from tetramethylsilane”,

J. Appl. Phys., Vol. 85, No6, p. 3314 (1999).

22 M. J. Loboda, J. A. Seifferly, and F. C. Dall,

Plasma-enhanced chemical vapor deposition of a-SiC:H films from organosilicon precursors”,

J. Vac. Sci. Technol. A, Vol. 12 (1), p. 90 (1994).

23 N. Yamada and T. Takahashi,

Methylsiloxane Spin-on-Glass Films for Low Dielectric Constant Interlayer Dielectrics”,

J. Electrochem. Soc., Vol. 147, p. 1477 (2000).

24 L. M. Han, J.-S. Pan, S.-M. Chen, N. Balasubramanian, J. Shi, L. S. Wong, and P. D. Foo,

Characterization of Carbon-Doped SiO2 Low k Thin Films. Preparation by Plasma- Enhanced Chemical Vapor Deposition from Tetramethylsilane”,

J. Electrochem. Soc., Vol. 148 (7), pp. F148-F153 (2001).

25 Y.-H. Kim, S.-K. Lee and H. J. Kim,

Low- k Si–O–C–H composite films prepared by plasma-enhanced chemical vapor deposition using bis-trimethylsilylmethane precursor”,

J. Vac. Sci. Technol. A, Vol. 18 (4), p. 1216-1219 (2000).

26 K. Endo, T. Tatsumi,

Fluorinated amorphous carbone thin film growth by plasma enhanced chemical vapor deposition for low dielectric constant interlayer dielectrics”,

J. Appl. Phys., Vol 78 (2), pp1370-1372 (1995). 27 D. Fuard,

Etude et caractérisation avancées des procédés plasma pour les technologies sub- 0.1µm”,

Documents relatifs