• Aucun résultat trouvé

Business risk and continuity

Dans le document ASML Holding N.V. Integrated Report 2016 (Page 24-27)

The Corporate Risk Management function helps ASML accomplish its objectives by being systematic in its approach to setting standards, assessing management and helping it improve the efficiency and effectiveness of ASML’s governance, risk

management, internal control and compliance. In the risk management process, the Supervisory Board (SB) provides independent oversight on management’s response to mitigating critical risk areas based on bi-annual risk reviews, while the SB’s Audit

Committee provides independent oversight on the risk management process and timely follow-up of high-priority actions based on quarterly progress updates. ASML’s Board of Management has delegated its risk oversight to ASML’s Corporate Risk Committee (CRC). The CRC acts as a central risk oversight body to review, manage and control risks included in the ASML risk universe by approving risk appetite (i.e. the acceptable level of risk), risk management policies and risk mitigation strategies. Business risk owners, together with the Corporate Risk Management function perform bottom-up multidisciplinary assessments of business risks. These assessments also incorporate aspects of security, ethics, business continuity and compliance (with laws and regulations, ISO standards and EICC Code of Conduct).

ASML Integrated Report 2016 24

Performance

Innovation is our lifeblood

Innovation is ASML’s lifeblood and the engine that drives our business. Consumers across the world are using ever-more powerful sophisticated devices in their daily lives - from smartphones to MRI scanners - showing how innovation is constantly improving our quality of life. This consumer demand drives demand for chip-making machines that produce ever-smaller, faster, cheaper, more powerful and energy-efficient microchips. We can only meet this demand by making consistent and continuous technological advances.

Our innovations in 2016 helped improve our Deep Ultraviolet (DUV) technology. We sold 133 TWINSCAN DUV machines in 2016, 46 of which were the latest model, the TWINSCAN NXT:1980i. 53 of the TWINSCAN NXT:1980i have been shipped since their

introduction in 2015. The main spearheads of innovation in DUV are overlay (i.e. the accuracy of lateral alignment of different layers within a microchip) and throughput. We have improved the performance of our 'dry' systems (i.e. those systems with air between the projection lens and the wafer) and our 'wet' or so-called immersion systems (i.e. those systems with a water film between the projection lens and the wafer). The latest immersion model, the TWINSCAN NXT:1980i, provides a resolution capability that enables the production of 10 nm logic node chips as well as the 7 nm node in an R&D setting. We also upgraded older versions of DUV machines to NXT:1980i specifications. We have been able to significantly speed up the time needed to bring the machine to the maturity level required for our customers' high volume production. The NXT:1980i comes with significantly improved overlay and productivity, which has been improved by 10% compared to the previous generation. In terms of customer operation, the NXT:1980i demonstrates a consistent daily output of around 4,000 wafers; there are differences between sites because the customer product mix impacts the number of wafers per day.

Recent improvements in innovation helped us improve our new Extreme Ultraviolet (EUV) technology, bringing it closer to the high-volume production introduction requirements of more than 125 wafers per hour productivity and 90 percent production time (availability) with consistent performance. In 2016, an average productivity of more than 1,500 wafers per day, over three days, was demonstrated for a NXE:3350B EUV machine at a customer site. We improved the light output of the EUV source, an essential part in our EUV machines, from an 80 Watt to 125 Watt at our customers' sites. This resulted in an increase of these machines' productivity to about 85 wafers per hour, thanks to improvements achieved by our team of multi-disciplinary engineers. In addition, the availability of our new EUV systems in the field improved, with systems achieving a four-week availability of more than 80 percent regularly across the installed base. The best result was more than 90 percent over four weeks. Consistency between tools and across sites however still needs to be improved. We shipped three NXE:3350B EUV machines to customers in 2016 (five since the introduction in 2015), taking another step towards the large-scale introduction of EUV machines, allowing high-volume microchip production.

In Holistic Lithography, we released the next generation metrology system, YieldStar 350E, which measures the accuracy of the lithography process, enabling customers to fine-tune the way their machines operate and enhance their yield. Built for the more exacting demands of today’s multiple patterning lithography, the newest version of our YieldStar metrology system generates 40%

more metrology data than its predecessor  -  and that can go up to 70% more data for the most advanced and complex 10 nm logic node.  We also released a new version of our resolution enhancement software aimed to improve the quality of patterning and thus help maximize manufacturing yield for EUV and immersion-based lithography at 7 nm and 5 nm logic nodes.

We measure innovation based on an internal key performance indicator - the Technology Leadership Index - that includes three objectives. See overview of our Products and technology objectives in the table below.

Another important indicator of our focus on innovation is the amount we spend on R&D. In 2016, we spent EUR 1,106 million or 16% of total net sales on R&D, compared to EUR 1,068 million or 17% of total net sales in 2015, showing our commitment to continuing to invest heavily in R&D.

Dans le document ASML Holding N.V. Integrated Report 2016 (Page 24-27)

Documents relatifs