• Aucun résultat trouvé

Applications of atomic layer deposited materials

Dans le document Atomic layer deposition: (Page 36-39)

1.2 Atomic layer deposition (ALD)

1.2.4 Applications of atomic layer deposited materials

At present, a wide range of materials has been synthesized by ALD, covering almost all the elements in the periodic table. As revealed in Figure 1.7, aside from oxides, nitrides, carbides, sulfides or fluorides, pure elements can be constructed by ALD as well.70,71These materials in the form of high-quality ultrathin films and high conformality coating layers could be achieved by virtue of the unique self-limiting reaction mechanism between the gaseous precursor and the substrate surface, and the processing conditions at low temperature and low vacuum. In accordance, the flourishing materials with improved properties favored the broadening of various application fields, covering vast research disciplines, from materials science, engineering, optics, to electrochemistry and energy, which will be detailed below.

Fig. 1.7Periodic table summarizing the materials that have been synthesized by ALD up to date. In the white frame different colors have been assigned to various types of materials: tellurides, florides, nitrides, oxides, sulfides, selenides, etc. Elements appearing in dark grey signifies that the specific pure element has been synthesized by ALD (Collected from online ALD processes database71).

First, the excellent conformality of ultrathin ALD coating layers on demanding three-dimensional substrates is facilitated by the vapor-based self-limiting ALD surface reactions. This is due to the fact that gas phase precursor molecules can disperse or diffuse to everywhere in the reaction chamber which are not limited by line-of-sight, if time permitted. For instance, the perfect replica of butterfly wing scales has been showcased with ALD Al2O3. The replicas are robust and flexible while keeping the fine structures of the wing scales, as exhibited in Figure 1.8ab.72

Fig. 1.8(a) Optical microscope image of butterfly wing scales and (b) SEM image of the alumina replicas of the butterfly wing scales by ALD at 100 °C (Reprinted from Ref 72); (c) TEM image of two 8 nm stacked Si nanowires conformally deposited with ALD high-k HfO2 layers in a gate-all-around field emitting transistor (Reproduced from Ref 73); (d) Illustration of the ALD compact TiO2layer for improving the performances of organometal halide perovskite solar cells (Reproduced from Ref 74); (e) Picture of the first transparent plastic foil based display with both the semiconductor and dielectric layer created by spatial ALD below 200 °C (Reproduced from Ref 75); (f) Schematic design showing ALD Al2O3and ZnO at 70

°C as encapsulation barrier for self-powered smart clothing (Reproduced from Ref 76).

Meanwhile, ALD has been a method of choice with the continuous miniaturization of semiconduc-tor electronics devices which is experiencing constant research interest both in academia and in industry.73,77–79ALD high-koxides materials (Al2O3, ZrO2, HfO2) have been incorporated in the industry as alternative gate dielectrics to SiO2in metal-oxide semiconductor field-effect transistors (MOSFETs) to avoid tunneling current. In 2017, the 8 nm technology has been demonstrated with gate-all-around field emitting transistors (GAAFETs) containing two 8 nm diameter Si nanowires

conformally coated by ALD HfO2layers, Figure 1.8c.73 Earlier this year, 5 nm chips have been put in volume production (although not GAAFETs configuration), and the race for 3 nm nodes has already begun which are expected to be delivered in the very near future. Furthermore, ALD has been under intensive research for synthesizing improved core-shell electrode materials for energy storage devices such as Li-ion batteries (LIBs) and supercapacitors (SCs).80,81

In addition, the unique self-limiting reaction mechanisms of ALD enables the generation of pinhole-free, crack-free compact films with comparable film density to their bulk counterparts.

The prime film quality renders ALD truly powerful for protective coatings such as anti-corrosion coating for sensors, diffusion barrier for LIBs, compact layer and passivation layer for solar cells,74,82encapsulation layers for displays and for self-powered smart clothing,76 as displayed in Figure 1.8d-f.

Secondly, ALD is advantageous when low temperature and low vacuum process conditions are required. This has made it feasible to integrate ALD in the semiconductor industry for Si-based devices and greatly lowered thermal budget. Particularly, the viability of low temperature process-ing favors compatibility with thermal sensitive substrates such as biomaterials,72,83 plastics75,84 or textiles,85,86 see Figure 1.8ab,e,f. Apart from the conventional fabrication of insulator thin films, top electrodes or the afore-mentioned encapsulation layers, more recently, the potential of ALD in this area has been further manifested with deposition of both the semiconductor indium gallium zinc oxide (IGZO) layer and dielectric alumina layer on low-cost transparent plastic foils below 200 °C using atmospheric spatial ALD for an organic light-emitting diode display (OLED), Figure 1.8e.75

In accordance with the industrial interests, the ALD equipment market has developed rapidly over billion-dollars size which is closely related to the continuous emergence of new applica-tions.14,87 To satisfy big volume production, commercial ALD reactors are available for large scale capacity.88–90For instance, with roll-to-roll spatial ALD reactors one can realize high speed production rate incorporating large flexible substrates with width up to 625 mm,88 while ALD batch reactors are capable of depositing highly uniform thin films on 50 pieces of substrates (each with diameter of up to 200 mm) within a single run.89 Plasma-enhanced ALD with its advanta-geous low temperature processing feature appears a useful and integrable tool for back-end-of-line segment of interconnect technology, which is also an available tools for production.14,90 One could foresee that with advanced nano-patterning, enabled by the combination of ALD with e-beam lithography or ALEt, or by area-selective ALD, can further boost semiconductor industry for producing smaller, faster and more efficient processors.91,92

In brief, the significance of ALD is expanding in a broad variety of fields, from microelectronics

to biomaterials and energy.

Dans le document Atomic layer deposition: (Page 36-39)

Documents relatifs