• Aucun résultat trouvé

Micro-électronique

N/A
N/A
Protected

Academic year: 2022

Partager "Micro-électronique "

Copied!
92
0
0

Texte intégral

(1)

Le Mémo de

Polytech’Marseille

Micro-électronique

&

Télécommunications

Edition 2006/2007

(2)
(3)

1

Avant propos

Le ″Mémo de Polytech’Marseille″ est un aide mémoire qui regroupe les résumés de plusieurs cours sur les trois années de l’école ainsi que des notions générales (fonctions mathématiques, unités de mesure…).

Cet aide mémoire a pour vocation :

• De vous aider lors des travaux dirigés et pratiques

• De vous accompagner tout au long de votre vie professionnelle

L’équipe pédagogique du département Micro-électronique et Télécommunications espère que vous utiliserez ce Mémo sans modération.

Pascal MASSON Directeur des études

Dépt. Micro-électronique et Télécommunications

(4)

SOMMAIRE

Fiches de synthèse des cours

Analyse numérique... 4

Anglais... 6

Architecture des Ordinateurs... 8

Analyse et synthèse des filtres actifs analogiques... 10

Circuits actifs linéaires ... 12

Circuits RF & HF ... 14

Commande des Procédés Discontinus... 16

Commande Numérique des Processus ... 18

Compatibilité Electromagnétique... 20

Conception des circuits intégrés numériques - Structures fondamentales... 22

Convertisseurs CNA & CAN ... 24

Effets quantiques dans les composants MOS fortement sub-microniques ... 26

Electronique... 28

Hyperfréquences... 30

Interconnexions en électronique rapide... 32

Ondes électromagnétiques... 34

Physique des composants I & II ... 36

Physique des composants III ... 40

Physique des Semi-Conducteurs ... 42

Signaux aléatoires... 44

Signaux et Systèmes Continus ... 46

Systèmes Asservis linéaires... 48

Systèmes Combinatoires et Séquentiels... 50

Technologie des composants... 52

Test des Circuits Intégrés Numériques... 54

Transmission du signal II ... 56

Transmissions numériques ... 58

VHDL – Langage VHDL pour la synthèse numérique... 60

VHDL – Simulations logiques ... 62

(5)

3

Notions générales ...

Alphabet Grec... 64

Coordonnées ... 65

Constantes... 66

Décomposition d’une fraction rationnelle en p en éléments simples... 67

Déplacement, longueur et volume élémentaires ... 68

Divergence et rotationnel d’un champ vectoriel ... 69

Fonctions trigonométriques... 70

Gradient d’un champ scalaire... 71

Préfixes ... 72

Primitives particulières de quelques fonctions courantes ... 73

Table des transformées de Laplace et transformées en Z ... 74

Tableau périodique des éléments ... 75

Unités de mesures... 76

Notes... 79

(6)

Analyse numérique

Algèbre linéaire

Interpolation

Approximation

(7)

5 Intégration

Dérivation

Racine d’équations non linéaires

(8)

Anglais

Vocabulaire

En plus du vocabulaire niveau bac il faut acquérir le vocabulaire basique de l'entreprise dans tous ses domaines.

Grammaire Les temps :

a. le présent simple pour exprimer une habitude: He plays tennis twice a week.

b. le présent continu pour exprimer une action en cours. Look! He is playing tennis. ou une action future programme I am playing tennis this afternoon.

c. Le simple past pour exprimer une action terminée et date. He bought his car 2 years ago d. Le present perfect simple pour une action terminée non-datée. I have painted the room. I have

never been to the States.

e. Le present perfect continu pour une action pas terminée. I have been painting the room. I have been playing tennis for 1 hour / since 10am

f. Les conditionnels:

i. Present: If I had enough money I would buy a new car

ii. Passé: If I had had enough money I would have bought a new car Les verbes irréguliers : to see, saw, seen…..

Les auxiliaires modaux : must/have to, can/be able to, should, ought to, may, might mustn't, needn't,…

Les "phrasal verbs" les plus utilisés: to break down, to give up, to look after, to carry on, to blow up….

Les verbes suivis de to / ing: to go on + ing, to want to+ inf…

Les relatifs: who, whom, which, that, whose…

Les conjonctions de base: although, unless, if, even though…

(9)

7

(10)

Architecture des Ordinateurs

Architecture en couches

Level 0 : Couche portes logiques fabriquées en technologie CMOS.

Level 1 : Couche µ-architecture composée d’un chemin de données (ALU

& Registres) et d’un séquenceur

Level 2 : Couche correspondante au jeu d’instructions (ISA) interprétées par le séquenceur (microprogramme) ou exécuté directement par le matériel

Level 3 : Reprend la plupart des instructions de la couche ISA avec en plus des instructions spécifiques pour la gestion de la mémoire

Level 4 : Langage d’assemblage (forme symbolique de la couche ISA)

Level 5 : Langage de haut niveau.

Types d’architecture

Von Neumann (Princeton) Harvard

Mémoire CPU

Données & Instructions Mémoire CPU

Données & Instructions Mémoire CPU

Données

Mémoire Instructions Mémoire CPU

Données

Mémoire Instructions Pipe-line

S1 : Recherche des instructions en mémoire principale

S2 : Décode les instructions

S3 : Recherche des opérandes en mémoire principale ou dans un registre si nécessaire

S4 : Exécution par le chemin de données de l’instruction

S5 : Transfert du résultat en mémoire principale ou dans un registre

Architecture RISC / CISC

RISC : Reduce Instruction Set Computer

Nombre réduit d’instructions qui s’exécutent en un seul cycle d’horloge du chemin de données CISC : Complex Instruction Set Computer

Nombre important d’instructions complexes qui doivent être interprétées en instruction simples

(11)

9 Bus

PCI : Peripheral Component Interconnect ISA : Industry Standard Architecture

IDE : Integrated Drive Electronics

SCSI : Small Computer System Interface

USB : Universal Serial Bus

La gestion du fonctionnement des différents bus s’appelle l’Arbitrage de Bus.

Exemple de couche µ-Architecture

1. Recherche des instructions IFU

2. Décodage des instructions 3. Mise en file d’attente des instructions à exécuter

4. Recherche des opérandes 5. Exécution par l’unité arithmétique et logique

6. Ecriture du résultat

7. Accès à la mémoire

principale

(12)

Analyse et synthèse des filtres actifs analogiques

Fonction d'approximation A

n

(Ω) = 10 log[1 + ε

2

T

n2

(Ω)]

Ondulation dans la bande passante ε = 10

0,1Amax

− 1 Approximation polynomiale

A

n

(Ω) = 10 log[1 + ε

2

(Ω

2

m=1 n/ 2

− Ω

0m

2

)

2

] ou A

n

(Ω) = 10 log[1 + ε

2

2

(Ω

2

m=1 (n−1)/ 2

− Ω

0m 2

)

2

] Approximation non polynomiale

A

n

(Ω) = 10 log[1 + ε

2

(Ω

2 m=1

n/ 2

− Ω

0m2

)

2

(Ω

2

k=1

m

− Ω

∞k2

)

2

] ou

A

n

(Ω) = 10 log[1 + ε

2

2

(Ω

2

m=1 (n−1)/ 2

− Ω

0m2

)

2

(Ω

2

k=1

m

− Ω

∞k2

)

2

] Equation de Feldtkeller modifiée 1 + ε

2

T

n2

(P) = 0

Approximation de Butterworth A

n

(Ω) = 10 log[1 + ε

2

2n

] Approximation deTchebychev de type I A

n

(Ω) = 10 log[1 + ε

2

C

n2

(Ω)]

Approximation de Legendre A

n

(Ω) = 10 log[1 + ε

2

L

n

(Ω

2

)]

Approximation de Cauer A

n

(Ω) = 10 log[1 + ε

2

Z

n,k2

(Ω)]

Approximation deTchebychev de type II

A

n

(Ω) = 10 log[1 + ε

2

C

n2

(Ωs) C

n2

Ωs

⎛ ⎝ ⎞

⎠ ]

Filtre passe-bas du second ordre sans zéro de

transmission H( p) = K

0

ω

02

p

2

+ ω

0

Q p + ω

02

Filtre passe-bas du second ordre avec zéro de

transmission

2 2

2 0 2 0

0

( ) p

H p K

p p

Q

ω ω ω

ω ω

∞ ∞ ∞

= + >

+ +

Filtre passe-haut du second ordre sans zéro de

transmission H( p) = K

p

2

p

2

+ ω

0

Q p + ω

02

Filtre passe-haut du second ordre avec zéro de

transmission

2 2

2 0 2 0

0

( ) p

H p K

p p

Q

ω ω ω

ω ω

∞ ∞ ∞

= + <

+ +

Filtre passe-bande du second ordre

H( p) = K

m

ω

0

Q p p

2

+ ω

0

Q p + ω

02

Filtre coupe-bande du second ordre

2 2

0

0 2 0 2

0

( ) p

H p K

p p

Q ω

ω ω

= +

+ +

(13)

11 Sensibilité d'une cellule du second ordre S

xH

= S

xK

+ S

ωH0

S

xω0

+ S

QH

S

xQ

S

QH

( ω ) =

( ωω

0

Q )

2

( ω

2

− ω

02

)

2

+ ( ωω

0

Q )

2

S

ωH0

( ω ) =

2( ω

2

− ω

02

) ω

2

+ ( ωω

0

Q )

2

( ω

2

− ω

02

)

2

+ ( ωω

0

Q )

2

Filtres intégrés à capacités commutées

Interrupteurs MOS

r

on

= L

µ C

ox

W (V

GS

V

T

) r

off

= 1 λ I

DS

Intégrateur DDI

1

( )

1

1

oo

C

c

z

H z

C z

= −

Intégrateur LDI

1/ 2

( )

1

1

oe

C

c

z

H z

C z

= −

− Intégrateur FEDI

H

oo

(z) = C

c

C

z

−1

1 − z

−1

Intégrateur FLDI

H

oe

( z) = C

c

C

z

−1/ 2

1 − z

−1

Intégrateur BEDI

H

oo

(z) = − C

c

C

1 1 − z

1

Intégrateur BLDI

H

oe

( z) = − C

c

C

z

1/ 2

1 − z

−1

Transformation TAB

p = 2 T

e

1 − z

−1

1 + z

−1

Transformation BET

p = 1 − z

−1

T

e

Transformation FET

p = 1 T

e

1 − z

−1

z

−1

Transformation LDI

p = 1 T

e

1 − z

−1

z

−1/2

Injection d'horloge (clock feedthrough) v

o

= ( ) v

o idéale

+ ∆v ( )

o CH

+ ∆v ( )

o OL

Filtres intégrés Gm-C OTA intégré

I

o

= I

D1

I

D2

= (V

1

V

2

) 2 β I

abc

1 − β

2I

abc

(V

1

V

2

)

2

β = µ C

ox

W / 2L g

m

C WI

ox abc

L

≈ µ Biquad utilisant deux OTA

V

o

(p) = g

m

1

g

m

2

V

A

(p) + C

1

g

m

2

pV

B

(p) + C

1

C

2

p

2

V

C

( p) g

m1

g

m2

+ C

1

g

m2

p + C

1

C

2

p

2

Biquad utilisant trois OTA

V

o

(p) = g

m

1

g

m

2

V

A

(p) + C

1

g

m

2

pV

B

(p) + C

1

C

2

p

2

V

C

( p) g

m1

g

m2

+ C

1

g

m3

p + C

1

C

2

p

2

Linéarisation des OTA par contre-réaction

(source degeneration) I

o

= β I

ss

2

(V

1

V

2

)

a 1 − β

a

2

I

ss

(V

1

V

2

)

2

Linéarisation des OTA par commande adaptative I'

o

= 2 β I

ss

(V

1

V

2

)

Linéarisation des OTA par paire pseudo-

différentielle I

o

=

β

2 (V

1

V

2

)V

tune

Linéarisation des OTA par paire différentielle à

couplage croisé I

o

≈ β

1

I

1

2 − β

2

I

2

2

⎣ ⎢ ⎤

⎦ ⎥ ( V

1

V

2

) Filtres intégrés MOS-C

Intégrateur MOS-C-AO linéarisé

H( p) = K(V

c1

V

c

2

) Cp Stabilisation des filtres intégrés MOS-C par

algorithme LMS

∂w

n

∂t = µ [ d(t)y(t) ] g

n

(t) dV

Q

dt = µ [V

réf

V

BP

] V

BP

(14)

Circuits actifs linéaires

Amplificateurs non idéaux Equations de tension

i i i

v = Z i v

o

= A v

v s

+ Z i

o o

Equations de courant

i i i

i = Y v i

o

= A i

i s

+ Y v

o o

Equations de transrésistance

i i i

i = Y v v

o

= R i

m s

+ Z i

o o

Equations de transconductance

i i i

v = Z i i

o

= G v

m s

+ Y v

o o

Amplificateurs idéaux Amplificateur de tension

Z

i

= ∞ Z

o

= 0 v

o

= A v

v i

Amplificateur de courant

i

0

Z = Z

o

= ∞ i

o

= A i

i i

Amplificateur de transrésistance

i

0

Z = Z

o

= 0 v

o

= R i

m i

Amplificateur de transconductance Z

i

= ∞ Z

o

= ∞ i

o

= G v

m i

Amplificateur différentiel Mode commun

1 2

1 ( )

2 v

c

= v + v

Mode différentiel

1 2

1 ( )

2 v

d

= vv

Tensions de sortie

01 D1 c1 c d1 d

v = V + A v + A v

02 D2 c2 c d2 d

v = V + A v + A v

Facteur de discrimination

d

D c

F A

= A

1

D D D

V = V + ∆ V

2

D D D

V = V − ∆ V

1

c c c

A = A + ∆ A

2

c c c

A = A − ∆ A

1

d d d

A = A + ∆ A

2

d d d

A = A − ∆ A

Facteur de réjection

d

R c

F A

= A

Amplificateur opérationnel à contre-réaction de tension V

o

= A V (

1

V

2

)

Amplificateur opérationnel à contre-réaction de courant V

o

= R

M

I

Amplificateur opérationnel de transconductance I

o

= g

m

( V

1

V

2

)

(15)

13 Amplificateur opérationnel à contre-réaction de

tension

V

o

= A 1 + AR

1

R

1

+ R

2

V

2

AR

2

R

1

+ R

2

1 + AR

1

R

1

+ R

2

V

1

Amplificateur opérationnel à contre-réaction de courant

V

o

= R

M

( 1

R

1

+ 1 R

2

) 1 + R

M

R

2

V

2

R

M

R

1

1+ R

M

R

2

V

1

v

1

-

+ R

1

R

2

v

o

v

2

V

1

V

2

V

o

Bruit thermique e

th

= 4kTR∆f k = 1,30 ⋅10

−23

J / K N = 4kTR Bruit de grenaille

e

sn

= kT 2∆f qI

dc

q = 1,6 ⋅10

−19

C

Facteur de bruit NF = 10 log (SNR)

in

(SNR)

out

Polarisation du transistor bipolaire

( )

CE CC C C E B C

V = VR IR I + I V

BE

= V

BB

R I

B B

R I

E

(

B

+ I

C

) Polarisation du JFET

Polarisation automatique V

DS

= V

DD

− ( R

D

+ R I

S

)

D

V

GS

= − R I

S D

Polarisation par diviseur de tension V

DS

= V

DD

− ( R

D

+ R I

S

)

D

V

GS

= V

GG

R I

S D

Polarisation du MOS

Polarisation du MOS à enrichissement V

DS

= V

DD

R I

D D

V

GS

= V

DS

I

D

= K V (

GS

V

T

)

2

Polarisation du MOS à appauvrissement V

DS

= V

DD

R I

D D

V

GS

= 0

Paramètres hybrides du transistor bipolaire

Montage base commune Montage collecteur commun

h

11b

= h

11e

1 − h

12e

+ h

21e

+ ∆h

e

h 12 b = ∆h eh 12e

1 − h 12e + h 21 e + ∆h e h 11c = h 11e h 12 c = 1 − h 12 e h

21b

= − ∆h

e

+ h

21e

1 − h

12e

+ h

21e

+ ∆h

e

h

22b

= h

22e

1 − h

12e

+ h

21e

+ ∆h

e

h 21c = −(1 + h 21e ) h 22c = h 22e

(16)

Circuits RF & HF

Paramètres et matrice [S]

[S] Zg2

Eg2 Zg1

Eg1

V1 V2

I1 I2

a1 b1

a2 b2

1 11 1 12 2

2 21 1 22 2

b S a S a b S a S a

= +

⎧ ⎨ = +

aj 0

Sii bi

ai

=

= ;

ij i ai 0

j

S b

a

=

=

1 11 12 1

2 21 22 2

b S S a

b S S a

⎡ ⎤ ⎡ ⎤ ⎡ ⎤

⎢ ⎥ ⎢ = ⎥ ⎢ ⎥

⎣ ⎦ ⎣ ⎦ ⎣ ⎦

Matrice [S] généralisée : [S’]

' 12 21

11 11

1

22

L L

S S S S

S

= + Γ

− Γ

Γ

L

coefficient de réflexion de la charge

' 12 21

22 22

1

11

G G

S S S S

S

= + Γ

− Γ

Γ

g

coefficient de réflexion du générateur La stabilité

⎪⎩

⎪ ⎨

<

Γ

<

Γ

1 22

1 11

' '

S S

G

L

Ù quadripôle inconditionnellement stable

Facteur de Rollet (stabilité) 21

12 2

22 11

1

2 2 2

S S

D S

KS − +

=

21 12 22

11 S S S

S

D = −

Cas n°1 :

= 1

K => adaptation non réalisable : Γ

G

= Γ

L

= 1 Cas n°2 :

> 1 K

• K>1 et ⎢D ⎢<1 amplificateur inconditionnellement stable

• K>1 et ⎢D ⎢>1 la stabilité est conditionnelle

• K<-1 naturellement instable Cas n°3 :

⎢ K ⎢<1 La stabilité est conditionnelle Cercles de stabilité

2 2

2 2

*

*

22 21 12 22

) 11 22

( 1

D S

S R S

D S

DS S

R

L L

L L

= −

= − Ω

>

Réels Ω

O

R

L

L

(17)

15 Calcul des gains

Le Gain transducique G

T

: G

T

= G

T

( Γ Γ

G

,

L

, ) S

2 2 2

2

(1 ) 21 (1 )

(1 22 )(1 11 ) 21 12

L G

T

L G G L

G S

S S S S

− Γ − Γ

= − Γ − Γ − Γ Γ

Le Gain disponible G

A

: G

A

= G

A

( Γ

G

, ) S ne dépend pas de Γ

L

2 2

2 '2

21 (1 )

1 11 (1 22 )

G A

G

G S

S S

= − Γ

− Γ −

Le Gain en puissance G : G = G ( , ) Γ

L

S ne dépend pas de Γ

G

2 2

2 '2

21 (1 )

1 22 (1 11 )

L G

G S

S S

= − Γ

− Γ −

Cas du transistor unilatéral S12≈0 :

2 2 2

2 2

(1 ) 21 (1 )

1 22 1 11

L G

T

L G

G S

S S

− Γ − Γ

= − Γ − Γ

Gain transducique unilatéral

2

max 2 2

21

(1 22 )(1 11 )

Tu

G S

S S

= − −

Gain transducique unilatéral à l’adaptation entrée/sortie MAG :

21

2

( 1)

12

MAG S k k

= S ± − ou k>1 k facteur de Rollet Le bruit :

Formule de Friss Mesure de bruit Facteur de bruit

3 1 2

1 1 2

1 F 1 F F F

G G G

= + − + + K 1

1 1 M F

G

= −

F = F

min

+ 4 R

n

( 1 − Γ Γ − Γ

SS2

) 1

opt

+ Γ

opt 2

(18)

Commande des Procédés Discontinus

La description du cahier des charges d’un automatisme industriel, caractérisé par le fait qu’il possède un grand nombre de variables d’entrée dont peu sont significatives à un instant donné, est facilitée par l’utilisation des réseaux de Pétri.

Un réseau de Pétri est un graphe orienté, défini par un triplet <T , P , A> où T, P et A sont respectivement des ensembles finis non vides, de transitions, de places définissant les nœuds du graphe et d’arcs orientés assurant la liaison d’une place vers une transition ou d’une transition vers une place.

Un réseau de Pétri est constitué par la juxtaposition de cinq configurations élémentaires :

ƒ le transfert qui est formé d’une transition et d’une place ;

ƒ l’attribution qui est la convergence d’arcs sur une même place ;

ƒ la sélection qui est la divergence de plusieurs arcs issus d’une même place ;

ƒ la jonction qui est la convergence de plusieurs arcs sur une même transition ;

ƒ la distribution qui est la divergence de plusieurs arcs à partir d’une même transition.

Le marquage initial M d’un réseau de Pétri est obtenu en plaçant des marqueurs ou des jetons dans les

0

différentes places. Le marquage M d’un réseau de Pétri est l’ensemble des places marquées à un instant donné.

L’évolution temporelle du réseau de Pétri est obtenu par l’évolution de son marquage dans le temps, ce qui nécessite l'opération de franchissement ou de tir d’une transition par des marqueurs.

Une transition est validée ou franchissable si chaque place d’entrée (place d’où sont issus les arcs orientés aboutissant à la transition) de cette transition comporte au moins un marqueur. Seules les transitions validées peuvent être franchies ou tirées. L’opération de tir d’une transition consiste à enlever un marqueur de chaque place d’entrée et à ajouter un marqueur à chaque place de sortie (place où aboutissent les arcs orienté issus de la transition). Lorsqu’une place d’entrée est commune à deux ou plusieurs transitions validées simultanément, les transitions sont dites en conflit. Cette situation arrête l’évolution du marquage d’un réseau qui peut reprendre en rendant prioritaire une des transitions validées.

L’évolution du marquage dans un réseau de Pétri peut être suivie en constituant un graphe dont les nœuds représentent les différents marquages et les branches constituent les transitions conduisant aux marquages correspondant.

Un réseau de Pétri est :

ƒ vivant pour un marquage initial M si toute transition du réseau peut être validée ou tirée pour

0

une séquence finie de tirs ;

ƒ sain ou sauf pour un marquage initial M si, quel que soit le marquage obtenu à partir de

0

M

0

par une séquence finie de tirs, aucune place ne possède plus d’un marqueur ;

ƒ propre si les marqueurs peuvent retourner dans toute position déjà obtenue ;

ƒ déterministe s’il est sans conflit.

Le marquage final M

n

d’un réseau de Pétri s’obtient à partir du marquage initial M

0

par la relation matricielle :

D t) C(p, M

M

n

=

0

+ , C(p, t) = S(p, t) − E(p, t) où :

ƒ D est un vecteur colonne de dimension m égale au nombre de transitions du réseau, ayant pour composante d un nombre entier positif correspondant au nombre de tirs de la transition

j

t dans la séquence donnée ;

j

(19)

17

ƒ S(p, t) est une matrice dont les éléments s valent 1 si la place

ij

p

i

est une place de sortie de la transition t et 0 dans le cas contraire ;

j

ƒ E(p, t) est une matrice dont les éléments e valent 1 si la place

ij

p

i

est une place d’entrée de la transition t et 0 dans le cas contraire .

j

L’automatisation d’un processus discontinu s’effectue en :

- représentant l’ensemble processus-structure de commande sous la forme d’un schéma fonctionnel ;

- déterminant les grandeurs d’entrée et de sortie du processus à commander ;

- déterminant les grandeurs d’entrée en de sortie de l’ensemble du système automatisé.

Structure de

commande Processus

industriel

entrée sortie

Cette automatisation se conduit en traçant, à partir du cahier des charges, un réseau de Pétri qui doit être vivant, sain, propre et déterministe en en l’interprétant de la manière suivante :

ƒ à toute transition du graphe est associée une fonction booléenne des entrées ou une fonction booléenne des entrées associée à une ou plusieurs variables de sortie. Une transition validée est tirée si la fonction booléenne correspondant à cette transition vaut un ;

ƒ à toute place du graphe est associée une ou plusieurs variables de sortie de la structure de commande ou une ou plusieurs variables de sortie associées à une ou plusieurs variables d’entrée. La fonction booléenne associée à une place est égale à un lorsque la place est marquée ;

ƒ à tout marquage du réseau de Pétri est associé un état de la machine séquentielle.

(20)

Commande Numérique des Processus

Un système échantillonné est un ensemble d’éléments dynamiques interconnectés dans lequel les données apparaissent en un ou plusieurs points comme une suite de nombres.

Un système asservi échantillonné typique est un système comportant un élément renvoyant la variable de sortie vers l’entrée avec une opération d’échantillonnage. Dans ce système, le signal d’erreur est échantillonné avec une période T puis reconstitué par un filtre ou bloqueur d’ordre zéro de fonction de transfert

p e (p) 1

B 0 = − Tp , caractérisé par le fait que sa sortie entre les instants nT et (n+1)T est constante et égale à la valeur à l’instant nT , avant d’être appliquée au processus continu.

sortie continue s t ( ) entrée continue

e t ( ) Filtre ou Bloqueur

B p 0 ( )

Processus continu G p ( ) T

+ - erreur continue

erreur échantillonnée

Ce système, n’ayant généralement pas les performances requises, est corrigé par l’adjonction d’un correcteur numérique D(Z).

T

+ -

Correcteur

numérique T

suite échantillonnée de la commande

e(t) entrée continue

erreur continue

erreur échantillonnée

sortie continue

s(t) Filtre ou

Bloqueur B 0 (p)

Processus continu

G(p)

L’opération d’échantillonnage d’un signal continu f(t) est approximée par une modulation d’un train d’impulsions de Dirac par f(t) : = ∑ = −

0 n

* (t) f(nT)δ(n nT)

f .

La transformée de Laplace du signal échantillonné f * (t) s’écrit : ∑ =

= −

0 n

nTp

* (p) f(nT)e

F .

Le comportement d’un système échantillonné aux instants d’échantillonnage est décrit par la transformée en Z : [ ] ∑ =

= −

=

0 n

f(nT)Z n f(t)

z

F(Z) qui est linéaire :

[ f (t) f (t) ] [ z f (t) ] [ z f (t) ] F (Z) F (Z)

z 1 + 2 = 1 + 2 = 1 + 2 ∀ f 1 (t) et f 2 (t) ,

[ kf(t) ] kz [ ] f(t) kF(Z)

z = = ∀ k constant et f(t) .

(21)

19 Les principales propriétés de la transformation en Z sont :

[ f(t nT) ] Z F(Z)

z − = n [ ]

⎥ ⎥

⎢ ⎢

⎡ −

=

+ ∑ =

1 − n

0 k

k n F(Z) f(kT)Z Z

nT) f(t z

[ e f(t) ] F(Ze )

z at = aT z [ ] e at f(t) = F(Ze aT )

F(Z) lim f(nT) lim 0 Z

n → = → ∞ lim f(nT) lim (Z 1)F(Z)

1 Z

n = −

→∞ si (1 − Z 1 )F(Z) , n’a pas de pôle sur le cercle unité dans le plan-Z ou à l’extérieur du cercle unité

[ ] [ F(Z, a) ]

a) a a f(t,

z ∂

= ∂

⎥⎦ ⎤

⎢⎣ ⎡

∂ [ ] [ F(Z) ]

dZ TZ d tf(t)

z = −

Une condition nécessaire et suffisante de stabilité d’un système asservi échantillonné est que les pôles de la fonction de transfert en Z du système bouclé ou que les racines de l’équation caractéristique, soient situées à l’intérieur du cercle unité dans le plan-Z.

Les conditions de stabilité pour une équation caractéristique d’ordre deux B(Z) = a 2 Z 2 + a 1 Z + a 0 = 0 , 0

a 2 > , sont :

0 a

a

0

2

< a

0

+ a

1

+ a

2

> 0 a

0

− a

1

+ a

2

> 0 Les conditions de stabilité pour une équation caractéristique d’ordre trois

0 a Z a Z a Z a

B(Z) = 3 3 + 2 2 + 1 + 0 = , a 3 > 0 , sont : 0

a a 03 <

3 1 2 2 0

2 3

0 a a a a a

a − < − a 0 + a 1 + a 2 + a 3 > 0 a 0 − a 1 + a 2 − a 3 < 0 La synthèse peut s’effectuer par différentes méthodes :

ƒ méthode des pôles dominants (ou méthode de Zdan) dont le principe est d’obtenir un système asservi dont le comportement soit voisin de celui d’un système du second ordre, c’est à dire caractérisé essentiellement par un paire de pôles dominants ;

ƒ méthodes basées sur les critères temporels (système minimal, système à réponse pile) dont le

principe est que le système réponde convenablement à des signaux tests tels qu’un échelon

unité, une rampe ou une accélération constante. On désire que le réseau correcteur soit

physiquement réalisable, la réponse en régime permanent au test d’entrée ait une erreur nulle

et que la réponse transitoire soit aussi rapide que possible (le temps d’établissement étant égal

à un nombre fini de périodes d’échantillonnage.

(22)

Compatibilité Electromagnétique

Couplage capacitif en BF - Modélisation du couplage capacitif entre deux conducteurs

V

S

2

R

G

1

m e

g

V

p

R

L

VS

2

1 C12

C2m

C1m

Vp RL RG

Schéma équivalent en BF

( )

[

P P M

]

P LL GG

P S

R R

R R R

C avec C

R j

C R j j

V j j V

T = +

+

= +

=

2 12

12

1 ) (

) ) (

( ω

ω ω

ω ω

Couplage inductif en BF - Modélisation du couplage inductif entre deux conducteurs

RL2

RL1

I1

R1

EP

Φ1

I2

R2

E2

Φ2

M

L2

R1

EP

L1

R2

E2

RL1

RL2

I1

I2

VS

( 2 2 2 ) 2 1

0 = R + R L + jL ω I + jM ω I

( R 1 R 1 jL 1 ) I 1 jM I 2

E P = + L + ω + ω ⎟⎟

⎜⎜ ⎞

⎛ + +

− +

=

2 2

2 2 2 2

1

1

L L L

S

R R j L

R R j M I R

V

ω ω

Ecrans métalliques - Diagramme asymptotique du rapport E/H à une distance r de la source de la perturbation

Champ proche Champ lointain

H prépondérant E prépondérant

⏐E/H⏐ (Ω, échelle log)

r/(λ/2π) 377

3,77 377.10

2

10

-2

1

(23)

21 Transmission d’un champ perturbateur au travers d’une paroi conductrice

d H

R

H

I

T

H01

H

I

T

H01

H

I

e

-αd

T

H10

T

H01

H

I

e

-αd

R

H10

T

H01

H

I

e

-αd

R

H10

T

H01

H

I

e

-2αd

(R

H10)2

T

H01

H

I

e

-2αd

(R

H10)2

T

H10

T

H01

H

I

e

-3αd

(R

H10)2

T

H01

H

I

e

-3αd

(R

H10)4

T

H10

T

H01

H

I

e

-5αd

H

T

milieu 1

C A H R H

I T

= . .

R est l’atténuation due aux réflexions :

0

4 Z

RZ

C

avec

ωε σ

ωµ j Z

C

j

= + l’impédance de l’écran A est l’atténuation due à l’absorption :

δ µσω α

α

1 2

0

= =

= A e

avec

A

d

où α est l’épaisseur de peau

C est une correction qui découle de la prise en compte des réflexions multiples :

d

C e

2α

1 1

(24)

Conception des circuits intégrés numériques - Structures fondamentales

Evolution

1948: invention du transistor 1949: invention du circuit intégré 1980: technologie nMOS

1985: technologie CMOS

Loi de Moore: tous les 18 mois, le nombre de transistors sur la surface des puces électroniques double et la taille de leur grille diminue d’un facteur 1,3

Actuellement cohabitation des microsystèmes et des nanosystèmes

Régimes de fonctionnement du transistor nMOS dans les circuits logiques

VDS

VGS

VDS

VGS

Réservoir Source

Réservoir Drain Canal

VGS

VGS<VT => MOS OFF, ID = 0

VDS = 0 => ID = 0

VSB

VGS

B

S D

G

p

VDS

VGS ≥ VT => MOS ON

VDS < VGS - VT => MOS linéaire, ID ≅ µ Cox (W/L) (VGS – VT) VDS

VDS ≥ VGS - VT => MOS saturé, ID = IDsat ≅ ½ µ Cox (W/L) (VGS – VT)2 VDS > 0 => ID > 0

VDS ≥ VGS - VT et (VDS

2/2)] non négligeable devant [(VGS – VT) VDS =>

MOS intermédiaire, ID ≅ µ Cox (W/L) [(VGS – VT) VDS – (VDS 2/2)]

VSB B VGS

S D

G

p B VGS

VSB B VGS

S D

G

p B

VSB B VGS

S D

G

p B

LOGIQUE CMOS

IN OUT

MN MP

IN OUT

MN MP

INV : schéma électrique INV : dessin de masques et coupe

INV : vue 3D

(25)

23

IN OUT

M1 M2

OUT

M3 M4 Cgd2

Cgd1 Cdb2

Cdb 1

Cint Cg4

Cg3

IN OUT

M1 M2

OUT

M3 M4 Cgd2

Cgd1 Cdb2

Cdb 1

Cint Cg4

Cg3

Bilan des capacités à la sortie du 1er INV

NAND : dessin de masques

C

OUT

R éseau du Pull Do wn nMO S R éseau du Pull U p

pMOS

B A C B A

C

OUT

R éseau du Pull Do wn nMO S R éseau du Pull U p

pMOS

B A C B A

Structure CMOS à inversion LOGIQUE

PSEUDO- NMOS

IN

OUT

MN MP

IN

OUT

MN MP

INV

LOGIQUE CMOS DYNAMIQUE

C

OUT

Réseau du Pull Down B nMOS

A

VDD

Φ

MP

Φ

ME

CL

C

OUT

Réseau du Pull Down B nMOS

A

VDD

Φ

MP

Φ

ME

CL

LOGIQUE BiCMOS

T2 IN

OUT T1

TN TP

VDD

T2 IN

OUT T1

TN TP

VDD

INV Exemple de règles de dessin

Réseau de cellules mémoire

BL

WL

Décodeur rangée

Décodeur colonne Amplificateurs 0

2M-1

Adresse rangée (M bits)

Adresse colonne (Nbits)

2N-1 0

Donnée E/S Réseau

de cellules mémoire

BL

WL

Décodeur rangée

Décodeur colonne Amplificateurs 0

2M-1

Adresse rangée (M bits)

Adresse colonne (Nbits)

2N-1 0

Donnée E/S

Organisation d’une mémoire

Word Line VDD

Bit line /Bit line

Word Line VDD

Bit line /Bit line

Cellule SRAM

C

S

Word Line

Bit line

C

S

Word Line

Bit line

Cellule DRAM

Φs

CB C /B

Φs

Φp

/Bit line Bit line

VDD/2 M6

M1

M2 M4

M3

M5 VDD

Amplificateur de lecture

(26)

Convertisseurs CNA & CAN

Théorie de l’échantillonnage éch/bloc en mode échantillonnage

• Temps d’acquisition

• Slew rate de l’ampli

• Offset de l’ampli

• Erreur de gain

• Erreur de linéarité

éch/bloc en mode mémorisation

• Injection de charge

• Clock feedthough

• Droop

Critère de Nyquist : F

éch

= 2 × F

MAX

Spécification des CNA & CAN

CNA

V

REF

V

OUT

D

0

D

1

D

N-2

D

N-1

D

2

LSB MSB

000 001 010 011 100 101 110 111 D

1/8 2/8 3/8 4/8 5/8 6/8 7/8

0

Pente idéale Saut idéal

000 001 010 011 100 101 110 111 1/8

2/8 3/8 4/8 5/8 6/8

0

VOUT

VREF

CNA

V

REF

V

OUT

D

0

D

1

D

N-2

D

N-1

D

2

LSB MSB

CNA

V

REF

V

OUT

D

0

D

1

D

N-2

D

N-1

D

2

LSB MSB

000 001 010 011 100 101 110 111 D

1/8 2/8 3/8 4/8 5/8 6/8 7/8

0

Pente idéale Saut idéal

000 001 010 011 100 101 110 111 1/8

2/8 3/8 4/8 5/8 6/8

0

VOUT

VREF

N REF

OUT V

2

v = D REF

N N

FS V

2 1

V = 2 − REF N

2 LSB V

1 =

DNL

n

=(hauteur réel saut) n - (hauteur saut idéal) n

INL

n

=(val. analog) n - (val. analog ligne réf) n

Erreur d’offset : si v

OUT

(0)0

Erreur de gain=Pente idéale – pente réelle Latence=temps acquisition + temps de conversion

Plage dynamiq= 6 . 02 N

1 1 N log 2

20 ⎟ ≈ •

⎜ ⎞

⎛ − dB

Rapport signal sur bruit (SNR)

CAN

D0

D1

DN-2

DN-1

D2

LSB MSB

V

REF

V

IN

CAN

D0

D1

DN-2

DN-1

D2

LSB MSB

V

REF

V

IN

1/8 2/8 3/8 4/8 5/8 6/8 7/8 0

LSBs

Qe 1

-1 0.5 -0.5 000 001 010 011 100 101 110 111

8/8 1/8 2/8 3/8 4/8 5/8 6/8 7/8 0

1

-1 0.5 -0.5 000 001 010 011 100 101 110 111

8/8 Entrée

Analogique

Largeur Idéale du saut

VIN VREF

VIN VREF Code num

de sortie D

1/8 2/8 3/8 4/8 5/8 6/8 7/8 0

LSBs

Qe 1

-1 0.5 -0.5 000 001 010 011 100 101 110 111

8/8 1/8 2/8 3/8 4/8 5/8 6/8 7/8 0

1

-1 0.5 -0.5 000 001 010 011 100 101 110 111

8/8 Entrée

Analogique

Largeur Idéale du saut

VIN VREF

VIN VREF Code num

de sortie D

Erreur de quantification :

LSB IN

e v D V

Q = − ×

DNL

n

=(Largeur code idéal ) n - (Largeur code réel ) n

INL=(val. Trans code ) n - (val. ligne réf) n

Erreur d’offset : 1 ère transistion ≠ ½ LSB Erreur de gain= Pente idéale – Pente réelle Code manquant : si DNL = -1

SNR = ⎟⎟

⎜⎜ ⎞

noise IN

v (max) log v

20

Aliasing : si sous-échantillonnage Erreur d’ouverture : doit être < ½ LSB

N LSB

CONV REF D V

2 D V

V = • = •

2 2

) V ( 2 2 2 (max) V

v LSB

REF N

IN = = 12

dV V ) V V (

Q 1 LSB

5 . VLSB 0

5 . 0

VLSB 5 . 0

2 LSB LSB LSB

RMS ,

e =

⎥ ⎥

⎢ ⎢

= ∫

Architectures des CNA CNA R-2R

-

+ vOUT

RF

2R 2R 2R 2R

R R R

2R

VREF VREF/2 VREF/22 VREF/2N-1 VREF/2N

2R 2R

R

DN-1 DN-2 DN-3 D2 D1 D0

MSB LSB

-

+ vOUT

RF

2R 2R 2R 2R

R R R

2R

VREF VREF/2 VREF/22 VREF/2N-1 VREF/2N

2R 2R

R

DN-1 DN-2 DN-3 D2 D1 D0

-

+ vOUT

RF

2R 2R 2R 2R

R R R

2R

VREF VREF/2 VREF/22 VREF/2N-1 VREF/2N

2R 2R

R

DN-1 DN-2 DN-3 D2 D1 D0

MSB LSB

F TOT

OUT i R

v = − avec ∑

=

= − 1 N

0 k

k N REF k

TOT 2 R

1 2 D V i

• Convertisseur rapide (1 conversion/cycle)

• N-1 interrupteurs, 2N+1 résistances

• Appariement des résistances

• Résistance des interrupteurs à minimiser

Références

Documents relatifs

Les atomes ou les ions États internes d’énergie Les atomes ou les ions États internes d’énergie Les noyaux atomiques États de spin du noyau Les nano

Comme les trajectoires sur la sphère unité qui ne démarrent pas en (0, 0, 1) ne peuvent converger vers (0, 0, 1) car ce point d’équilibre est instable selon toutes les

Autel dans un temple bouddhiste La cathédrale de Ho Chi Minh Ville... La Marche vers le Sud : les étapes de la formation

Dans un contexte porteur au niveau mondial pour les bovins et régional pour les caprins, les filières laitières sont impactées par la croissance du coût de l’alimentation

de Gelfand-Levitan sous l’hypothèse d’un zéro d’ordre 2. Nous montrons la validité de la méthode utilisée, qui n’était pas évidente a priori. Nous calculons

Les deux faits caractéristiques de ce tableau sont : 1° le développement très- rapide du monnayage, à partir de la 4 e période; 2° la prédominance de plus en plus forte de l'or

Sylvie Lemasson examine, quant à elle, les caractéristiques de la politique de puissance de la Lituanie, pays le plus méridional des trois mais surtout le seul à entre- tenir

Dans l’espace de tous les états, ils forment une famille particulière, appelée variété d’équilibre, que l’on peut identifier simplement : pour chaque choix de l’ensemble {X k